HỌC VIỆN CÔNG NGHỆ BƢU CHÍNH VIỄN THÔNG
BÀI GIẢNG MÔN
ĐIỆN TỬ SỐ
Giảng viên:
ThS. Trần Thúy Hà
Điện thoại/E-mail:
0912166577 / [email protected]
Bộ môn:
Kỹ thuật điện tử- Khoa KTDT1
Học kỳ/Năm biên soạn:
www.ptit.edu.vn
V1.0
Học kỳ 1 năm 2009
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
1
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Chƣơng 1: Hệ đếm
Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm
Chƣơng 3: Cổng logic TTL và CMOS
Chƣơng 4: Mạch logic tổ hợp
Chƣơng 5: Mạch logic tuần tự
Chƣơng 6: Mạch phát xung và tạo dạng xung
Chƣơng 7: Bộ nhớ bán dẫn.
Chƣơng 8: cấu kiện logic khả trình (PLD)
Chƣơng 9: Ngôn ngữ mô tả phần cứng (VHDL)
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
2
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Headline (Times New Roman Black 36pt.)
CHƢƠNG 1.
Hệ đếm
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BàiTHUẬT
giảng ĐIỆN
Điện tử
BỘ MÔN: KỸ
TỬ-sốKHOA KTDT1
3
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
1.1. Biểu diễn số
1.2. Chuyển đổi cơ số giữa các hệ đếm
1.3. Số nhị phân có dấu
1.4. Dấu phẩy động
1.5. Một số loại mã nhị phân thông dụng
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
4
BÀI GIẢNG MÔN :
1.1. Biểu diễn số (1)
ĐIỆN TỬ SỐ
Nguyên tắc chung
Dùng một số hữu hạn các ký hiệu ghép với nhau theo qui ƣớc về vị trí.
Các ký hiệu này thƣờng đƣợc gọi là chữ số. Do đó, ngƣời ta còn gọi hệ
đếm là hệ thống số. Số ký hiệu đƣợc dùng là cơ số của hệ ký hiệu là r.
Giá trị biểu diễn của các chữ khác nhau đƣợc phân biệt thông qua trọng
số của hệ. Trọng số của một hệ đếm bất kỳ sẽ bằng ri, với i là số nguyên
dƣơng hoặc âm.
Tên gọi, số ký hiệu và cơ số của một vài hệ đếm thông dụng
Tên hệ đếm
Số ký hiệu
Cơ số (r)
Hệ nhị phân (Binary)
Hệ bát phân (Octal)
Hệ thập phân (Decimal)
Hệ thập lục phân (Hexadecimal)
0, 1
0, 1, 2, 3, 4, 5, 6, 7
0, 1, 2, 3, 4, 5, 6, 7, 8, 9
0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F
2
8
10
16
Chú ý: Ngƣời ta cũng có thể gọi hệ đếm theo cơ số của chúng. Ví dụ: Hệ nhị phân =
Hệ cơ số 2, Hệ thập phân = Hệ cơ số 10...
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
5
BÀI GIẢNG MÔN :
1.1. Biểu diễn số (2)
ĐIỆN TỬ SỐ
Biểu diễn số tổng quát:
N a n 1 r n 1 ... a1 r1 a 0 r 0 a 1 r 1 ... a m r m
m
a i ri
n 1
N10 d n 1 10n 1 ... d1 101 d0 100 d 1 101 ... d m 10 m
m
di 10i
n 1
N 2 b n 1 2n 1 ... b1 21 b0 20 b 1 21 ... b m 2 m
m
bi 2i
n 1
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
6
BÀI GIẢNG MÔN :
1.1. Biểu diễn số (3)
ĐIỆN TỬ SỐ
N8 On 1 8n 1 ... O0 80 O 1 81 ... O m 8 m
m
Oi 8i
n 1
N16
H n 1 16n 1 .... H0 160 H 1 161 .... H m 16 m
m
Hi 16i
n 1
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
7
BÀI GIẢNG MÔN :
1.2. Chuyển đổi cơ số giữa các hệ đếm
ĐIỆN TỬ SỐ
1.1. Biểu diễn số
1.2. Chuyển đổi cơ số giữa các hệ đếm
1.3. Số nhị phân có dấu
1.4. Dấu phẩy động
1.5. Một số loại mã nhị phân thông dụng
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
8
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Chuyển đổi từ hệ cơ số 10 sang các hệ khác
QUY TẮC:
Đối với phần nguyên:
Chia liên tiếp phần nguyên của số thập phân cho cơ số của hệ
cần chuyển đến, số dƣ sau mỗi lần chia viết đảo ngƣợc trật tự
là kết quả cần tìm.
Phép chia dừng lại khi kết quả lần chia cuối cùng bằng 0.
Đối với phần phân số:
Nhân liên tiếp phần phân số của số thập phân với cơ số của
hệ cần chuyển đến, phần nguyên thu đƣợc sau mỗi lần nhân,
viết tuần tự là kết quả cần tìm.
Phép nhân dừng lại khi phần phân số triệt tiêu.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
9
BÀI GIẢNG MÔN :
Đổi một biểu diễn trong hệ bất kì sang hệ 10
ĐIỆN TỬ SỐ
Công thức chuyển đổi:
N10
a n 1 r n 1 a n 2 r n 2 .... a 0 r 0 a 1 r 1 .... a m r m
Thực hiện lấy tổng vế phải sẽ có kết quả cần tìm. Trong biểu
thức trên, ai và r là hệ số và cơ số hệ có biểu diễn.
Ví dụ: Chuyển 1101110.102 sang hệ thập phân
N10 1 26 1 25 0 24 1 23 1 22 1 21 0 20 1 21 0 22
64 32 0 8 4 2 0 0.5 0 110.5
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
10
BÀI GIẢNG MÔN :
Đổi các số từ hệ nhị phân sang hệ cơ số 8, 16
ĐIỆN TỬ SỐ
Quy tắc:
Vì 8 = 23 và 16 = 24 nên ta chỉ cần dùng một số nhị phân 3
bit là đủ ghi 8 ký hiệu của hệ cơ số 8 và từ nhị phân 4 bit
cho hệ cơ số 16.
Do đó, muốn đổi một số nhị phân sang hệ cơ số 8 và 16 ta
chia số nhị phân cần đổi, kể từ dấu phân số sang trái và phải
thành từng nhóm 3 bit hoặc 4 bit. Sau đó thay các nhóm bit
đã phân bằng ký hiệu tƣơng ứng của hệ cần đổi tới.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
11
1.3. Số nhị phân có dấu
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
1.1. Biểu diễn số
1.2. Chuyển đổi cơ số giữa các hệ đếm
1.3. Số nhị phân có dấu
1.4. Dấu phẩy động
1.5. Một số loại mã nhị phân thông dụng
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
12
BÀI GIẢNG MÔN :
3 phƣơng pháp biểu diễn số nhị phân có dấu
ĐIỆN TỬ SỐ
Sử dụng một bit dấu.
Trong phƣơng pháp này ta dùng một bit phụ, đứng trƣớc các bit trị
số để biểu diễn dấu, „0‟ chỉ dấu dƣơng (+), „1‟ chỉ dấu âm (-).
Sử dụng phép bù 1.
Giữ nguyên bit dấu và lấy bù 1 các bit trị số (bù 1 bằng đảo của các
bit cần đƣợc lấy bù).
Sử dụng phép bù 2
Là phƣơng pháp phổ biến nhất. Số dƣơng thể hiện bằng số nhị
phân không bù (bit dấu bằng 0), còn số âm đƣợc biểu diễn qua bù 2
(bit dấu bằng 1). Bù 2 bằng bù 1 cộng 1.
Có thể biểu diễn số âm theo phƣơng pháp bù 2 xen kẽ: bắt đầu từ
bit LSB, dịch về bên trái, giữ nguyên các bit cho đến gặp bit 1 đầu
tiên và lấy bù các bit còn lại. Bit dấu giữ nguyên.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
13
BÀI GIẢNG MÔN :
Cộng và trừ các số theo biểu diễn bit dấu
ĐIỆN TỬ SỐ
Phép cộng
Hai số cùng dấu: cộng hai phần trị số với nhau, còn dấu là
dấu chung.
Hai số khác dấu và số dương lớn hơn: cộng trị số của số
dƣơng với bù 1 của số âm. Bit tràn đƣợc cộng thêm vào kết
quả trung gian. Dấu là dấu dƣơng.
Hai số khác dấu và số dương lớn hơn: cộng trị số của số
dƣơng với bù 1 của số âm. Lấy bù 1 của tổng trung gian.
Dấu là dấu âm.
Phép trừ.
Nếu lƣu ý rằng, - (-) = + thì trình tự thực hiện phép trừ trong
trƣờng hợp này cũng giống phép cộng.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
14
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Cộng và trừ các số theo biểu diễn bù 1
Phép cộng
Hai số dương: cộng nhƣ cộng nhị phân thông thƣờng, kể cả bit
dấu.
Hai số âm: biểu diễn chúng ở dạng bù 1 và cộng nhƣ cộng nhị
phân, kể cả bit dấu. Bit tràn cộng vào kết quả. Chú ý, kết quả
đƣợc viết dƣới dạng bù 1.
Hai số khác dấu và số dương lớn hơn: cộng số dƣơng với bù 1
của số âm. Bit tràn đƣợc cộng vào kết quả.
Hai số khác dấu và số âm lớn hơn: cộng số dƣơng với bù 1 của
số âm. Kết quả không có bit tràn và ở dạng bù 1.
Phép trừ
Để thực hiện phép trừ, ta lấy bù 1 của số trừ, sau đó thực hiện các
bƣớc nhƣ phép cộng.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
15
BÀI GIẢNG MÔN :
Cộng và trừ các số theo biểu diễn bù 2
ĐIỆN TỬ SỐ
Phép cộng
Hai số dương: cộng nhƣ cộng nhị phân thông thƣờng. Kết quả là
dƣơng.
Hai số âm: lấy bù 2 cả hai số hạng và cộng, kết quả ở dạng bù 2.
Hai số khác dấu và số dương lớn hơn: lấy số dƣơng cộng với bù
2 của số âm. Kết quả bao gồm cả bit dấu, bit tràn bỏ đi.
Hai số khác dấu và số âm lớn hơn: số dƣơng đƣợc cộng với bù 2
của số âm, kết quả ở dạng bù 2 của số dƣơng tƣơng ứng. Bit dấu
là 1.
Phép trừ
Phép trừ hai số có dấu là các trƣờng hợp riêng của phép cộng.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
16
BÀI GIẢNG MÔN :
1.4. Dấu phẩy động
ĐIỆN TỬ SỐ
1.1. Biểu diễn số
1.2. Chuyển đổi cơ số giữa các hệ đếm
1.3. Số nhị phân có dấu
1.4. Dấu phẩy động
1.5. Một số loại mã nhị phân thông dụng
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
17
BÀI GIẢNG MÔN :
Biểu diễn theo dấu phẩy động
ĐIỆN TỬ SỐ
Gồm hai phần: số mũ E (phần đặc tính) và phần định trị M
(trƣờng phân số). E có thể có độ dài từ 5 đến 20 bit, M từ 8
đến 200 bit phụ thuộc vào từng ứng dụng và độ dài từ máy
tính. Thông thƣờng dùng 1 số bit để biểu diễn E và các bit
còn lại cho M với điều kiện:
X 2E x M x
1/ 2 M 1
E và M có thể đƣợc biểu diễn ở dạng bù 2. Giá trị của
chúng đƣợc hiệu chỉnh để đảm bảo mối quan hệ trên đây
đƣợc gọi là chuẩn hóa.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
18
BÀI GIẢNG MÔN :
Các phép tính với biểu diễn dấu phẩy động
ĐIỆN TỬ SỐ
Giống nhƣ các phép tính của hàm mũ. Giả sử có hai số theo
dấu phẩy động đã chuẩn hóa:
thì:
X 2E x M x
Nhân:
Z X.Y 2
Chia:
W X/Y 2
E x E y
Y2
Mx .My 2E
E x E y
Z
Ey
My
Mz
M x / M y 2E
w
Mw
Muốn lấy tổng và hiệu, cần đƣa các số hạng về cùng số mũ,
sau đó số mũ của tổng và hiệu sẽ lấy số mũ chung, còn định
trị của tổng và hiệu sẽ bằng tổng và hiệu các định trị.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
19
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Headline
Roman
• 1.5. Một số (Times
loại mã nhịNew
phân thông
dụng Black 36pt.)
1.1. Biểu diễn số
1.2. Chuyển đổi cơ số giữa các hệ đếm
1.3. Số nhị phân có dấu
1.4. Dấu phẩy động
• 1.5. Một số loại mã nhị phân thông dụng
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BàiTHUẬT
giảng ĐIỆN
Điện tử
BỘ MÔN: KỸ
TỬ-sốKHOA KTDT1
20
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Headline
Roman
• 1.5. Một số (Times
loại mã nhịNew
phân thông
dụng Black 36pt.)
Số
thập
phân
Trọng số của mã BCD
0
8421
0000
7421
0000
5121
0000
2421
0000
4221
0000
1
0001
0001
0001
0001
0001
2
0010
0010
0010
0010
0010
3
0011
0011
0011
0011
0011
4
0100
0100
0111
0100
1000
5
0101
0101
1000
1011
0111
6
0110
0110
1001
1100
1100
7
0111
1000
1010
1101
1101
8
1000
1001
1011
1110
1110
9
1001
1010
1111
1111
1111
Cấu tạo mã BCD với các trọng số khác nhau.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BàiTHUẬT
giảng ĐIỆN
Điện tử
BỘ MÔN: KỸ
TỬ-sốKHOA KTDT1
21
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Headline
Roman
• 1.5. Một số (Times
loại mã nhịNew
phân thông
dụng Black 36pt.)
Số
thập phân
Số nhị
phân
Mã dƣ 3
Mã
Gray
Mã Gray
Dƣ 3
Mã
Johnson
Mã vòng
0
0000
0011
0000
0010
00000
0000000001
1
0001
0100
0001
0110
10000
0000000010
2
0010
0101
0011
0111
11000
0000000100
3
0011
0110
0010
0101
11100
0000001000
4
0100
0111
0110
0100
11110
0000010000
5
0101
1000
0111
1100
11111
0000100000
6
0110
1001
0101
1101
01111
0001000000
7
0111
1010
0100
1111
00111
0010000000
8
1000
1011
1100
1110
00011
0100000000
9
1001
1100
1101
1010
00001
1000000000
Cấu tạo của một số mã nhị phân thông dụng.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BàiTHUẬT
giảng ĐIỆN
Điện tử
BỘ MÔN: KỸ
TỬ-sốKHOA KTDT1
22
ĐIỆN TỬ SỐ
Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm
Chƣơng 1: Hệ đếm
BÀI GIẢNG MÔN :
• Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm
Chƣơng 3: Cổng logic TTL và CMOS
Chƣơng 4: Mạch logic tổ hợp
Chƣơng 5: Mạch logic tuần tự
Chƣơng 6: Mạch phát xung và tạo dạng xung
Chƣơng 7: Bộ nhớ bán dẫn.
Chƣơng 8: cấu kiện logic khả trình (PLD)
Chƣơng 9: Ngôn ngữ mô tả phần cứng (VHDL)
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
23
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Headline (Times New Roman Black 36pt.)
CHƢƠNG 2.
ĐẠI SỐ BOOLE
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BàiTHUẬT
giảng ĐIỆN
Điện tử
BỘ MÔN: KỸ
TỬ-sốKHOA KTDT1
24
2.1. Các định lý
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Các định lý cơ bản:
Tên gọi
Stt
Dạng tích
Dạng tổng
1
Đồng nhất
X.1 = X
X+0=X
2
Phần tử 0, 1
X.0 = 0
X+1=1
3
Bù
X.X 0
X X 1
4
Bất biến
X.X = X
X+X=X
5
Hấp thụ
X + X.Y = X
X.(X + Y) = X
6
Phủ định đúp
7
Định lý
DeMorgan
X
Y
1 Z
X=X
X.Y.Z... X Y Z ... X Y Z ... X.Y.Z...
Các định luật cơ bản:
Hoán vị:
X.Y = Y.X, X + Y = Y + X
Kết hợp: X.(Y.Z) = (X.Y).Z, X + (Y + Z) = (X + Y) + Z
Phân phối: X.(Y + Z) = X.Y + X.Z, (X + Y).(X + Z) = X + Y.Z
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
25
BÀI GIẢNG MÔN :
2.2. Các phƣơng pháp biểu diễn hàm Boole
ĐIỆN TỬ SỐ
Có 3 phƣơng pháp biểu diễn:
Bảng trạng thái
Bảng các nô (Karnaugh)
Phƣơng pháp đại số
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
26
BÀI GIẢNG MÔN :
2.2.1. Phƣơng pháp Bảng trạng thái
Liệt kê giá trị (trạng thái) mỗi
biến theo từng cột và giá trị
hàm theo một cột riêng
(thƣờng là bên phải bảng).
Bảng trạng thái còn đƣợc gọi
là bảng sự thật hay bảng chân
lý.
Đối với hàm n biến sẽ có 2n tổ
hợp độc lập. Các tổ hợp này
đƣợc kí hiệu bằng chữ mi, với i
= 0 † 2n -1 và có tên gọi là các
hạng tích hay còn gọi là
mintex.
www.ptit.edu.vn
V1.0
ĐIỆN TỬ SỐ
m
A
B
C
f
m0
0
0
0
0
m1
0
0
1
0
m2
0
1
0
0
m3
0
1
1
0
m4
1
0
0
0
m5
1
0
1
0
m6
1
1
0
0
m7
1
1
1
1
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
27
BÀI GIẢNG MÔN :
2.2.2. Phƣơng pháp Bảng Các nô (Karnaugh)
Tổ chức của bảng Các nô:
B
Các tổ hợp biến đƣợc viết theo một dòng A
0
(thƣờng là phía trên) và một cột (thƣờng
là bên trái) .
1
Một hàm logic có n biến sẽ có 2n ô.
BC
Mỗi ô thể hiện một hạng tích hay một
A
hạng tổng, các hạng tích trong hai ô kế
0
cận chỉ khác nhau một biến.
1
CD
AB
ĐIỆN TỬ SỐ
0
1
00
01
11
10
00
01
11
10
00
01
11
10
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
28
BÀI GIẢNG MÔN :
2.2.3. Phƣơng pháp đại số
ĐIỆN TỬ SỐ
Có 2 dạng biểu diễn là dạng tuyển (tổng các tích) và dạng hội (tích các tổng).
Dạng tuyển: Mỗi số hạng là một hạng tích hay mintex, thƣờng kí hiệu bằng chữ "mi".
Dạng hội: Mỗi thừa số là hạng tổng hay maxtex, thƣờng đƣợc kí hiệu bằng chữ "Mi".
Nếu trong tất cả mỗi hạng tích hay hạng tổng có đủ mặt các biến, thì dạng
tổng các tích hay tích các tổng tƣơng ứng đƣợc gọi là dạng chuẩn. Dạng
chuẩn là duy nhất.
Tổng quát, hàm logic n biến có thể biểu diễn chỉ bằng một dạng tổng các
tích:
n
f X n 1,..., X0
2 1
ai mi
i 0
hoặc bằng chỉ một dạng tích các tổng:
f X n 1,..., X0
2n 1
a i mi
i 0
ai chỉ lấy hai giá trị 0 hoặc 1. Đối với một hàm thì mintex và maxtex là bù
của nhau.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
29
BÀI GIẢNG MÔN :
2.3. Các phƣơng pháp rút gọn hàm
ĐIỆN TỬ SỐ
Có 3 phƣơng pháp rút gọn hàm:
Phƣơng pháp đại số
Bảng Cácnô
Phƣơng pháp Quine Mc. Cluskey
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
30
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
2.3.1. Phƣơng pháp đại số
Dựa vào các định lý đã học để đƣa biểu thức về dạng tối giản.
Ví dụ: Hãy đƣa hàm logic về dạng tối giản:
f AB AC BC
Áp dụng định lý
,
A A 1
, ta có:
X XY X
f AB AC BC A A
AB ABC AC ABC
AB AC
Vậy nếu trong tổng các tích, xuất hiện một biến và đảo của biến
đó trong hai số hạng khác nhau, các thừa số còn lại trong hai số
hạng đó tạo thành thừa số của một số hạng thứ ba thì số hạng
thứ ba đó là thừa và có thể bỏ đi.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
31
BÀI GIẢNG MÔN :
2.3.2. Phƣơng pháp Bảng Các nô (Karnaugh)
Phƣơng pháp này thƣờng đƣợc dùng để rút
gọn các hàm có số biến không vƣợt quá 5.
Các bƣớc tối thiểu hóa:
1. Gộp các ô kế cận có giá trị „1‟ (hoặc
„0‟) lại thành từng nhóm 2, 4, ...., 2i ô. Số
ô trong mỗi nhóm càng lớn kết quả thu
đƣợc càng tối giản. Một ô có thể đƣợc
gộp nhiều lần trong các nhóm khác nhau.
Nếu gộp theo các ô có giá trị „0‟ ta sẽ thu
đƣợc biểu thức bù của hàm.
CD
ĐIỆN TỬ SỐ
11
10
00
1
1
01
1
1
1
1
1
1
AB
11
00
1
10
f1 = AB
01
1
f2 = C
2. Thay mỗi nhóm bằng một hạng tích mới, trong đó giữ lại các biến
giống nhau theo dòng và cột.
3. Cộng các hạng tích mới lại, ta có hàm đã tối giản (Đối với minterm).
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
32
BÀI GIẢNG MÔN :
2.3.3. Phƣơng pháp Quine Mc. Cluskey
ĐIỆN TỬ SỐ
Phƣơng pháp này có thể tối thiểu hóa đƣợc hàm nhiều biến và có
thể tiến hành công việc nhờ máy tính.
Các bƣớc tối thiểu hóa:
1. Lập bảng liệt kê các hạng tích dƣới dạng nhị phân theo từng
nhóm với số bit 1 giống nhau và xếp chúng theo số bit 1 tăng
dần.
2. Gộp 2 hạng tích của mỗi cặp nhóm chỉ khác nhau 1 bit để tạo
các nhóm mới. Trong mỗi nhóm mới, giữ lại các biến giống
nhau, biến bỏ đi thay bằng một dấu ngang (-).
Lặp lại cho đến khi trong các nhóm tạo thành không còn khả năng
gộp nữa. Mỗi lần rút gọn, ta đánh dấu # vào các hạng ghép cặp
đƣợc. Các hạng không đánh dấu trong mỗi lần rút gọn sẽ đƣợc
tập hợp lại để lựa chọn biểu thức tối giản.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
33
BÀI GIẢNG MÔN :
Phƣơng pháp Quine Mc. Cluskey (tiếp)
Bƣớc 1: Lập bảng
Bảng a
Bảng b
Hạng tích sắp xếp Nhị phân (ABCD)
10
12
11
13
14
15
1010
1100
1011
1101
1110
1111
Rút gọn lần 1 (ABCD)
1011-10
11011-0
1-11
11-1
111-
#
#
#
#
#
#
#
(10,11)
(10,14)
(12,13)
(12,14)
(11,15)
(13,15)
(14,15)
Rút gọn lần thứ 2 (ABCD)
11-1-1-
(12,13,14,15)
(10,11,14,15)
Bƣớc 2: Thực hiện nhóm các hạng tích
Ta nhận thấy rằng 4 cột có duy nhất
một dấu "x" ứng với hai hạng 11-và 1-1-. Do đó, biểu thức tối giản là:
f A, B,C, D AB AC
www.ptit.edu.vn
V1.0
ĐIỆN TỬ SỐ
A BCD
11-1-1-
10
11
12
x
x
13
14
15
x
x
x
x
x
x
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
34
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Headline (Times New Roman Black 36pt.)
CHƢƠNG 3.
CỔNG LOGIC
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BàiTHUẬT
giảng ĐIỆN
Điện tử
BỘ MÔN: KỸ
TỬ-sốKHOA KTDT1
35
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Headline
(Times New Roman Black 36pt.)
Nội dung
Cổng logic cơ bản
Một số cổng ghép thông dụng
Logic dƣơng và logic âm
Các tham số chính
Các họ cổng logic
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BàiTHUẬT
giảng ĐIỆN
Điện tử
BỘ MÔN: KỸ
TỬ-sốKHOA KTDT1
36
BÀI GIẢNG MÔN :
3.1.Cổng logic cơ bản: AND, OR, NOT
ĐIỆN TỬ SỐ
Cổng AND
Cổng OR
Cổng NOT
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
37
BÀI GIẢNG MÔN :
3.1.1. Cổng AND
ĐIỆN TỬ SỐ
Hàm ra của cổng AND 2 và nhiều biến vào nhƣ sau:
f f (A, B) AB;
f f (A, B,C, D,...) A.B.C.D...
Ký hiệu cổng AND
Bảng trạng thái cổng AND 2 lối vào
A
f
B
A
B
C
f
Chuẩn ANSI
www.ptit.edu.vn
V1.0
A
B
A
B
C
0
&
f
0
0
0
0
&
0
0
Chuẩn IEEE
f
A
B
f
A
B
f
0
0
0
L
L
L
0
1
0
L
H
L
1
0
0
H
L
L
1
1
1
H
H
H
Theo giá trị logic
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
Theo mức logic
38
BÀI GIẢNG MÔN :
3.1.2. Cổng OR
ĐIỆN TỬ SỐ
Hàm ra của cổng OR 2 và nhiều biến vào nhƣ sau:
f f (A, B) A B;
f f (A, B,C, D,...) A B C D ...
Bảng trạng thái cổng OR 2 lối vào
Ký hiệu cổng OR
A
B
A
B
A
B
C
A
B
C
f
f
Chuẩn ANSI
www.ptit.edu.vn
V1.0
0
>=1
f
0
A
B
f
A
B
f
0
0
0
L
L
L
0
1
1
L
H
H
1
0
1
H
L
H
1
1
1
H
H
H
0
0
0
>=1
0
0
Chuẩn IEEE
f
Theo giá trị logic
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
Theo mức logic
39
BÀI GIẢNG MÔN :
3.1.3. Cổng NOT
ĐIỆN TỬ SỐ
Hàm ra của cổng NOT:
f A
Ký hiệu cổng NOT
f
A
f
A
Chuẩn ANSI
Bảng trạng thái cổng NOT
f
A
f
A
A
f
A
f
0
1
L
H
1
0
H
L
Theo giá trị logic
Theo mức logic
Chuẩn IEEE
A
A
Dạng xung ra
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
40
BÀI GIẢNG MÔN :
3.2. Một số cổng ghép thông dụng
ĐIỆN TỬ SỐ
Cổng NAND
Cổng NOR
Cổng khác dấu (XOR)
Cổng đồng dấu (XNOR)
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
41
BÀI GIẢNG MÔN :
3.2.1. Cổng NAND
ĐIỆN TỬ SỐ
Ghép nối tiếp một cổng AND với một cổng NOT ta đƣợc cổng NAND.
A
B
AB
f = AB
Hàm ra của cổng NAND 2 và nhiều biến vào nhƣ sau:
f AB
f ABCD...
Ký hiệu cổng NAND
A
B
A
B
A
B
C
A
B
C
f
f
Chuẩn ANSI
www.ptit.edu.vn
V1.0
Bảng trạng thái cổng NAND 2 lối vào
0
&
f
0
A
B
f
A
B
f
0
0
1
L
L
H
0
1
1
L
H
H
1
0
1
H
L
H
1
1
0
H
H
L
0
0
0
&
0
0
Chuẩn IEEE
f
Theo giá trị logic
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
Theo mức logic
42
BÀI GIẢNG MÔN :
3.2.2. Cổng NOR
ĐIỆN TỬ SỐ
Ghép nối tiếp một cổng OR với một cổng NOT ta đƣợc cổng NOR.
A
B
A+B
f = A+B
Hàm ra của cổng NOR 2 và nhiều biến vào nhƣ sau:
f AB
f A B C D ...
Ký hiệu cổng NOR
A
B
A
B
A
B
C
A
B
C
f
f
Chuẩn ANSI
www.ptit.edu.vn
V1.0
Bảng trạng thái cổng NOR 2 lối vào
0
>=1
f
0
0
0
0
>=1
0
0
Chuẩn IEEE
f
A
B
f
A
B
f
0
0
1
L
L
H
0
1
0
L
H
L
1
0
0
H
L
L
1
1
0
H
H
L
Theo giá trị logic
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
Theo mức logic
43
BÀI GIẢNG MÔN :
3.2.3. Cổng XOR - cổng khác dấu
ĐIỆN TỬ SỐ
Cổng XOR còn gọi là cổng khác dấu, hay cộng modul 2.
A
AB
B
f = AB + AB
A
B
AB
Hàm ra của cổng XOR 2 biến vào nhƣ sau:
f AB AB
hay
Ký hiệu cổng XOR
A
B
A
B
A
B
C
A
B
C
f
f
Chuẩn ANSI
www.ptit.edu.vn
V1.0
f AB
Bảng trạng thái cổng XOR 2 lối vào
0
=1
f
0
0
0
0
=1
0
0
Chuẩn IEEE
f
A
B
f
A
B
f
0
0
0
L
L
L
0
1
1
L
H
H
1
0
1
H
L
H
1
1
0
H
H
L
Theo giá trị logic
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
Theo mức logic
44
BÀI GIẢNG MÔN :
3.2.4. Cổng XNOR - cổng đồng dấu
ĐIỆN TỬ SỐ
Cổng XNOR còn gọi là cổng đồng dấu.
A
AB
B
f = AB + AB
A
B
AB
Hàm ra của cổng XNOR 2 biến vào nhƣ sau:
f AB AB
hay
f AB A
Ký hiệu cổng XNOR
A
B
A
B
A
B
C
A
B
C
f
f
Chuẩn ANSI
www.ptit.edu.vn
V1.0
Bảng trạng thái cổng XNOR 2 lối vào
0
=
f
0
0
0
0
B
=
0
0
Chuẩn IEEE
f
A
B
f
A
B
f
0
0
1
L
L
H
0
1
0
L
H
L
1
0
0
H
L
L
1
1
1
H
H
H
Theo giá trị logic
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
Theo mức logic
45
BÀI GIẢNG MÔN :
3.2.5. Logic dƣơng và logic âm
ĐIỆN TỬ SỐ
Logic dương là logic có điện thế mức cao H luôn lớn hơn điện thế mức
thấp L (VH > VL).
Logic âm là đảo của logic dƣơng (VH < VL).
Khái niệm logic âm thƣờng đƣợc dùng để biểu diễn trị các biến.
Logic âm và mức âm của logic là hoàn toàn khác nhau.
V
H
L
0
1
1
0
0
1
0
1
1
1
0 0
1
0
t
0
a) Logic dƣơng với mức dƣơng.
V
0
H
t
0
1
1
0
0
1
0
1
1
1
0 0
1
0
L
b) Logic dƣơng với mức âm.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
46
BÀI GIẢNG MÔN :
3.3. Các tham số chính
ĐIỆN TỬ SỐ
Mức logic
Độ chống nhiễu
Hệ số ghép tải K
Công suất tiêu thụ
Trễ truyền lan
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
47
BÀI GIẢNG MÔN :
3.3.1. Mức logic
5v
VVHmax
VRHmax
ĐIỆN TỬ SỐ
VRHmax
VVHmax
4,9v
VRHmin
0,1v
VRLmax
NH
4v
VVHmin
3,5v
VVLmax
1,5v
3v
2v
VVHmin
NH
2,4v
VRHmin
NL
1v
0,8v
VVLmax
NL
0,4v
VRLmax
0v
Họ TTL
Họ CMOS
Mức logic là mức điện thế trên đầu vào và đầu ra của cổng
tƣơng ứng với logic "1" và logic "0", nó phụ thuộc điện thế
nguồn nuôi của cổng (Vcc đối với họ TTL (Transistor
Transistor Logic) và Vdd đối với họ MOS (Metal Oxide
Semiconductor)).
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
48
ĐIỆN TỬ SỐ
BÀI GIẢNG MÔN :
3.3.2. Độ chống nhiễu
Độ chống nhiễu (hay độ phòng vệ nhiễu) là mức nhiễu lớn nhất
tác động tới lối vào hoặc lối ra của cổng mà chƣa làm thay đổi
trạng thái vốn có của nó.
VNH
VNL
VVL
TTL
VRH
Cổng I
VVH
TTL
Cổng II
Tác động nhiễu khi mức ra cao
VVH
TTL
VRL
Cổng I
VVL
VRH
TTL
Cổng II
b) Tác động nhiễu khi mức ra thấp
VRHmin VNH VVHmin VNH VVHmin VRHmin
VRLmax VNL VVLmax VNL VVLmax VRLmax
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
49
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
3.3.3. Hệ số ghép tải K
Cho biết khả năng nối đƣợc bao nhiêu lối vào tới đầu ra của 1
cổng đã cho.
Hệ số ghép tải phụ thuộc dòng ra (hay dòng phun) của cổng chịu
tải và dòng vào (hay dòng hút) của các cổng tải ở cả hai trạng
thái H, L.
Cổng chịu tải
H
A
B
Các cổng tải
Cổng chịu tải
L
A
B
IRL
IRH
a) Mức ra của cổng chịu tải là H
Công thức tính hệ số ghép tải:
www.ptit.edu.vn
V1.0
Các cổng tải
b) Mức ra của cổng chịu tải là L
Kt
I RL max
I RL
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
; giảng ĐIỆN
Bài
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
50
BÀI GIẢNG MÔN :
3.3.4. Công suất tiêu thụ
+Vcc
L
H
ĐIỆN TỬ SỐ
+Vcc
ICCH
H
H
H
ICCL
L
Hai trạng thái tiêu thụ dòng của cổng logic
ICCH - Là dòng tiêu thụ khi đầu ra lấy mức H,
ICCL - Là dòng tiêu thụ khi đầu ra lấy mức L.
Theo thống kê, tín hiệu số có tỷ lệ bit H / bit L khoảng 50%. Do đó, dòng
tiêu thụ trung bình ICC đƣợc tính theo công thức:
ICC = (ICCH + ICCL)/ 2
Công suất tiêu thụ trung bình của mỗi cổng sẽ là:
P0 = ICC . VCC
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
51
BÀI GIẢNG MÔN :
3.3.5. Trễ truyền lan
ĐIỆN TỬ SỐ
Tín hiệu đi qua một cổng phải mất một khoảng thời gian,
đƣợc gọi là trễ truyền lan.
Vào
Vào
Ra
Ra
tTHL
tTLH
Trễ truyền lan xảy ra tại cả hai sƣờn của xung ra. Nếu kí
hiệu trễ truyền lan ứng với sƣờn trƣớc là tTHL và sƣờn sau
là tTLH thì trễ truyền lan trung bình là:
tTbtb = (t THL + tTLH)/2
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
52
BÀI GIẢNG MÔN :
3.4. Các họ cổng logic
ĐIỆN TỬ SỐ
Họ DDL
Họ DTL
Họ RTL
Họ TTL
Họ MOS FET
Họ ECL
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
53
BÀI GIẢNG MÔN :
3.4.1. Họ DDL
ĐIỆN TỬ SỐ
DDL (Diode Diode Logic) là họ cổng logic do các diode bán
dẫn tạo thành.
Cổng AND, OR 2 lối vào họ DDL:
Bảng trạng thái
thể hiện nguyên lý hoạt động của các cổng
AND, OR họ DDL
+5V
D1
A
B
AND
R1
f
A
B
D2
f
a) Cổng AND
D1
f
A
A
B
D2
B
R1
b) Cổng OR
www.ptit.edu.vn
V1.0
f
A(V) B(V)
OR
f(V)
A(V) B(V)
f(V)
0
0
0,7
0
0
0
0
3
0,7
0
5
4,3
3
0
0,7
5
0
4,3
3
3
4,7
5
5
4,3
Theo mức điện áp vào/ra
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
54
BÀI GIẢNG MÔN :
3.4.2. Họ DTL
ĐIỆN TỬ SỐ
Để thực hiện chức năng đảo, ta có thể đấu nối tiếp với các cổng
DDL một transistor công tác ở chế độ khoá. Mạch cổng nhƣ thế
đƣợc gọi là họ DTL (Diode Transistor Logic).
Ví dụ các cổng NOT, NAND thuộc họ DTL
+5V
+5V
+5V
2k
2k
4k
D1
Q1
A
5k
a)
4k
f
f
D2 D3
D1
+5V
D2 D3
Q1
A
D4
5k
B
b)
Bằng cách tƣơng tự, ta có thể thiết lập cổng NOR hoặc các cổng
liên hợp phức tạp hơn.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
55
ĐIỆN TỬ SỐ
BÀI GIẢNG MÔN :
3.4.3. Họ RTL
Họ RTL (Resistor Transistor Logic) là các cổng logic đƣợc
cấu tạo bởi các điện trở và transistor.
Cổng NOT họ RTL
12 V
12 V
5V
1k
1k
D
5,7 V
0V
10 k
-12 V
1k
A
D
Z
5,7 V
B
0V
5V
0V
10 k
-12 V
0V
A(V)
f(V)
0
5,7
5
0
www.ptit.edu.vn
0V
Bảng trạng thái
Bảng trạng thái
V1.0
5V
1k
1k
5V
0V
Cổng NOR 2 lối vào họ RTL
A(V)
B(V)
f(V)
0
0
5,7
0
5
0
5
0
0
5
5
0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
56
BÀI GIẢNG MÔN :
3.4.4. Họ TTL
ĐIỆN TỬ SỐ
Thay các điốt đầu vào họ DTL thành transistor đa lớp tiếp
giáp BE ta đƣợc họ TTL (Transistor Transistor Logic).
Một số mạch TTL
Mạch cổng NAND
Mạch cổng OR
Mạch cổng collector để hở
Mạch cổng TTL 3 trạng thái
Họ TTL có diode Schottky ( TTL + S )
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
57
BÀI GIẢNG MÔN :
3.4.4.1. Mạch cổng NAND TTL
ĐIỆN TỬ SỐ
Sơ đồ nguyên lý của mạch NAND TTL có thể đƣợc chia ra thành
3 phần.
+Vcc
R1
4k
R2
1,6k
R3
300
Q3
A
Q1
Q2
D3
A
f
B
f
B
Q4
D1
D2
R4
1k
Mạch đầu vào: gồm Transistor Q1, trở R1 và các diode D1, D2.
Mạch giữa: gồm Transistor Q2, các trở R2, R4.
Mạch đầu ra: gồm Q3, Q4, R3 và diode D3.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
58
BÀI GIẢNG MÔN :
3.4.4.2. Mạch cổng OR TTL
ĐIỆN TỬ SỐ
Sơ đồ nguyên lý của mạch NAND TTL có thể đƣợc chia ra
thành 3 phần.
+Vcc
R2
4k
R1
4k
R3
1,6k
D3
R5
1,6k
R7
130
Q7
Q6
A
Q4
Q1
B
Q2
D1
D2
D4
f
Q3
Q8
Q5
R4
1 k
R6
1 k
Sơ đồ mạch điện của một cổng OR TTL 2 lối vào.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
59
BÀI GIẢNG MÔN :
3.4.4.3. Mạch cổng collector để hở
ĐIỆN TỬ SỐ
Nhƣợc điểm của họ cổng TTL có mạch ra khép kín là hệ số
tải đầu ra không thể thay đổi, nên nhiều khi gây khó khăn
trong việc kết nối với đầu vào của các mạch điện tử tầng sau.
Cổng logic collector để hở khắc phục đƣợc nhƣợc điểm này.
+5V
R1
4k
A
Q1
R2
1,6k
Q2
Q3
D1
A
f
f
R3
1,6k
Hình trên là sơ đồ của một cổng TTL đảo collector hở tiêu
chuẩn. Muốn đƣa cổng vào hoạt động, cần đấu thêm trở
gánh ngoài, từ cực collector đến +Vcc.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
60
BÀI GIẢNG MÔN :
3.4.4.4.Mạch cổng TTL 3 trạng thái
+5V
R1
4k
R2
4k
D1
R3
1,6k
ĐIỆN TỬ SỐ
+Vcc
R5
130
R5
Q4
A
Q3
Q1
B
E
V1.0
Lối ra Z cao
f
Q5
Q2
R4
1k
www.ptit.edu.vn
Q4
D2
Q5
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
61
BÀI GIẢNG MÔN :
3.4.5. Họ MOS FET
ĐIỆN TỬ SỐ
Bán dẫn trƣờng (MOS FET) cũng đƣợc dùng rất phổ biến
để xây dựng mạch điện các loại cổng logic. Đặc điểm chung
và nổi bật của họ này là:
Mạch điện chỉ bao gồm các MOS FET mà không có điện trở
Dải điện thế công tác rộng, có thể từ +3 đến +15 V
Độ trễ thời gian lớn, nhƣng công suất tiêu thụ rất bé
Tuỳ theo loại MOS FET đƣợc sử dụng, họ này đƣợc chia ra
các tiểu họ:
PMOS
NMOS
CMOS
Cổng truyền dẫn
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
62
ĐIỆN TỬ SỐ
BÀI GIẢNG MÔN :
3.4.5.1. PMOS
Mạch điện của họ cổng này chỉ dùng MOSFET có kênh dẫn
loại P. Công nghệ PMOS cho phép sản xuất các mạch tích
hợp với mật độ cao nhất.
VDD
VDD
S
A
S
A
G
G
Q1
f=A
D
S
G
Q3
D
S
B
Q4
G
Q2
D
G
VSS
f= A+B
D
S
Q5
D
VSS
a) Cổng NOT
www.ptit.edu.vn
V1.0
b) Cổng NOR
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
63
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
3.4.5.2. NMOS
Mạch điện của họ cổng này chỉ dùng MOSFET có kênh dẫn
loại N.
VDD
VDD
Q1
1
Q1
f
f
Q2
Q2
A
A
Q3
B
Q3
B
VSS
a) Cổng NAND
www.ptit.edu.vn
V1.0
VSS
b) Cổng NOR
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
64
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
3.4.5.3. CMOS
CMOS – Complementary MOS. Mạch điện của họ cổng logic
này sử dụng cả hai loại MOS FET kênh dẫn P và kênh dẫn N.
Bởi vậy có hiện tƣợng bù dòng điện trong mạch. Chính vì thế mà
công suất tiêu thụ của họ cổng, đặc biệt trong trạng thái tĩnh là
V
rất bé.
DD
VDD
S
G
G
Q1
D
D
A
Q1
G
Q2
D
D
f
D
f
Q2
G
S
S
Q3
G
A
S
S
Q4
B
a) Cổng NOT
www.ptit.edu.vn
V1.0
b) Cổng NAND
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
65
BÀI GIẢNG MÔN :
3.4.5.5. Cổng truyền dẫn
ĐIỆN TỬ SỐ
Dựa trên công nghệ CMOS, ngƣời ta sản xuất loại cổng có thể
cho qua cả tín hiệu số lẫn tín hiệu tƣơng tự. Bởi vậy cổng
đƣợc gọi là cổng truyền dẫn
G
Q1
S
D
Vào/Ra
Vào/Ra
Ra/Vào
Ra/Vào
+5V
S
D
Q2
Điều khiển
G
a) Mạch điện
www.ptit.edu.vn
V1.0
b) Ký hiệu
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
66
BÀI GIẢNG MÔN :
3.4.6. Họ ECL
ĐIỆN TỬ SỐ
ECL (Emitter Coupled Logic) là họ cổng logic có cực E của
một số bán dẫn nối chung với nhau. Họ mạch này cũng sử
dụng công nghệ TTL, nhƣng cấu trúc mạch có những điểm
khác hẳn với họ TTL.
+Vcc
D
Lối
vào
R5
C
R8
R6
Q8
B
Q7
A
Q4
Q1
R1
Q2
R2
Q3
R3
Q5
RE
Lối ra
OR
- 0,9 V
Lối ra NOR
Q6
D1
-1,29 V
R4
Ra
D2
R7
- 1,75 V
R9
- 1,4 V - 1,2 V Vào
-Vcc = - 5V
a) Mạch điện nguyên lý
www.ptit.edu.vn
V1.0
b) Đồ thị mức vào/ra
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
67
BÀI GIẢNG MÔN :
3.5. Giao tiếp giữa các cổng logic cơ bản
ĐIỆN TỬ SỐ
Giao tiếp giữa TTL và CMOS
Giao tiếp giữa CMOS và TTL
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
68
ĐIỆN TỬ SỐ
3.5.1. Đặc điểm của họ TTL và CMOS khi sử dụng và ghép nối.
BÀI GIẢNG MÔN :
Đối với cổng TTL
+ Tất cả các đầu vào của cổng TTL để hở sẽ hoạt động nhƣ
mức logic 1 (do diode base-emitter của transistor của mạch
vào không đƣợc phân cực thuận). Trƣờng hợp này gọi là thả
nổi đầu vào.
+ Khi không sử dụng một đầu vào nào đó của cổng thì ta
phải nối nó với đất hoặc dƣơng nguồn sao cho chức năng của
cổng không bị thay đổi.
+ Không đƣợc nối trực tiếp hai đầu ra của hai cổng TTL với
nhau. Trong trƣờng hợp này phải sử dụng cổng collector để
hở -OC.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
69
ĐIỆN TỬ SỐ
Headline
(Times
3.5.1. Đặc điểm
của họNew
TTL vàRoman
CMOS khiBlack
sử dụng 36pt.)
và ghép nối.
BÀI GIẢNG MÔN :
• Đối với cổng CMOS.
+ Không đƣợc phép thả nổi các đầu vào không đƣợc sử dụng
đến mà phải nối chúng với đất hoặc dƣơng nguồn hoặc đầu
khác sao cho chức năng của cổng không bị thay đổi.
+ Điện trở đầu vào cao gây nên hiện tƣợng tích tụ hạt tĩnh
điện, dẫn đến phát sinh điện thế có thể đủ lớn để đánh thủng
lớp điện môi mỏng giữa cực G và kênh dẫn. Do vậy ngƣời ta
chế tạo lƣới diode điện trở ở đầu vào nhằm bảo vệ transistor.
+ Điện trở đầu ra thƣờng nhỏ nên tốc độ chuyển mạch tƣơng
đối nhanh.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BàiTHUẬT
giảng ĐIỆN
Điện tử
BỘ MÔN: KỸ
TỬ-sốKHOA KTDT1
70
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Headline
(Times
Roman Black 36pt.)
3.5.2.1 Giao
tiếp giữa New
họ TTL+CMOS
Cùng điện áp cung cấp +5V.
Trong trƣờng hợp này điện áp ra của TTL nhỏ hơn so với điện
áp vào của CMOS. Do vậy ta phải dùng mạch bổ sung để
tƣơng hợp hai loại IC khác nhau.
Giải pháp tiêu chuẩn là dùng điện trở kéo lên (điện trở pull-up)
giữa điều khiển TTL và tải CMOS nhƣ hình 3-56.
+ 5V
Rp
Điều khiển
TTL
Tải CMOS
Điều khiển TTL và tải CMOS
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BàiTHUẬT
giảng ĐIỆN
Điện tử
BỘ MÔN: KỸ
TỬ-sốKHOA KTDT1
71
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Headline
(Times
Roman Black 36pt.)
3.5.2.1 Giao
tiếp giữa New
họ TTL+CMOS
Khác điện áp cung cấp +5V.
Điện áp cung cấp dùng cho IC CMOS thích hợp nhất là từ +9V
đến +12V. Một cách dùng để điện áp cung cấp lớn là sử dụng
IC TTL hở mạch Collector nhƣ ở hình sau, vì tầng ra của TTL
hở cực C chỉ gồm transistor nhận dòng với cực C thả nổi.
Hình 3-57. Điều khiển TTL hở mạch Collector và tải CMOS
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BàiTHUẬT
giảng ĐIỆN
Điện tử
BỘ MÔN: KỸ
TỬ-sốKHOA KTDT1
72
BÀI GIẢNG MÔN :
3.5.2.2 Giao tiếp giữa họ CMOS + TTL
ĐIỆN TỬ SỐ
Cùng điện áp cung cấp +5V.
Giải pháp tiêu chuẩn là dùng điện trở kéo lên (điện trở pullup) giữa điều khiển TTL và tải CMOS nhƣ hình 3-56.
Khác điện áp cung cấp.
Điện áp cung cấp dùng cho IC CMOS thích hợp nhất là từ
+9V đến +12V. Một cách dùng để điện áp cung cấp lớn là sử
dụng IC TTL hở mạch Collector nhƣ ở hình 3-57, vì tầng ra
của TTL hở cực C chỉ gồm transistor nhận dòng với cực C
thả nổi.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Chƣơng 1: Hệ đếm
Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm
Chƣơng 3: Cổng logic TTL và CMOS
Chƣơng 4: Mạch logic tổ hợp
Chƣơng 5: Mạch logic tuần tự
Chƣơng 6: Mạch phát xung và tạo dạng xung
Chƣơng 7: Bộ nhớ bán dẫn.
Chƣơng 8: cấu kiện logic khả trình (PLD)
Chƣơng 9: Ngôn ngữ mô tả phần cứng (VHDL)
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Headline (Times New Roman Black 36pt.)
CHƢƠNG 4
Mạch logic tổ hợp
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BàiTHUẬT
giảng ĐIỆN
Điện tử
BỘ MÔN: KỸ
TỬ-sốKHOA KTDT1
75
BÀI GIẢNG MÔN :
Nội dung
Phân tích mạch logic tổ hợp
ĐIỆN TỬ SỐ
Thiết kế mạch logic tổ hợp
Mạch mã hóa và giải mã
Bộ hợp kênh và phân kênh
Mạch cộng
Mạch so sánh
Mạch tạo và kiểm tra chẵn lẻ
Đơn vị số học và logic (ALU)
Hazzards
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
76
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Khái niệm chung
Mạch logic tổ hợp có thể có n lối vào và m lối ra. Mỗi lối ra
là một hàm của các biến vào. Quan hệ vào, ra này đƣợc
thể hiện bằng hệ phƣơng trình tổng quát sau:
Y0 = f0(x0, x1, …, xn-1);
x0
Y1 = f1(x0, x1, …, xn-1);
Y0
x1
Y1
…
Mạch logic
tổ hợp
Ym-1 = fm-1(x0, x1, …, xn-1).
xn-1
Ym-1
Đặc điểm nổi bật của mạch logic tổ hợp là hàm ra chỉ phụ
thuộc các biến vào mà không phụ thuộc vào trạng thái
của mạch. Do đó, trạng thái ra chỉ tồn tại trong thời gian
có tác động vào.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
77
BÀI GIẢNG MÔN :
4.1. Phân tích mạch logic tổ hợp
ĐIỆN TỬ SỐ
Mạch tổ hợp có thể bao gồm hai hay nhiều tầng, mức độ
phức tạp của của mạch cũng rất khác nhau.
Các bƣớc thực hiện:
Nếu mạch đơn giản thì ta tiến hành lập bảng trạng thái,
viết biểu thức, rút gọn, tối ƣu (nếu cần) và cuối cùng vẽ
lại mạch điện.
Nếu mạch phức tạp thì ta tiến hành phân đoạn mạch để
viết biểu thức, sau đó rút gọn, tối ƣu (nếu cần) và cuối
cùng vẽ lại mạch điện.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
78
BÀI GIẢNG MÔN :
4.2. Thiết kế mạch logic tổ hợp
ĐIỆN TỬ SỐ
Các bƣớc thiết kế :
1. Phân tích bài toán đã cho để gắn hàm và biến, xác lập mối
quan hệ logic giữa hàm và các biến đó;
2. Lập bảng trạng thái tƣơng ứng;
3. Từ bảng trạng thái có thể viết trực tiếp biểu thức đầu ra
hoặc thiết lập bảng Cac nô tƣơng ứng;
4. Dùng phƣơng pháp thích hợp để rút gọn, đƣa hàm về dạng
tối giản hoặc tối ƣu theo mong muốn;
5. Vẽ mạch điện thể hiện.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
79
BÀI GIẢNG MÔN :
4.3. Mạch mã hóa
ĐIỆN TỬ SỐ
Mạch mã hoá
Mạch mã hoá từ thập phân sang BCD 8421
Mạch mã hoá ƣu tiên
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
80
ĐIỆN TỬ SỐ
4.3.1. Mạch mã hoá từ thập phân sang BCD 8421
BÀI GIẢNG MÔN :
Sơ đồ khối tổng quát của mạch mã hoá
Sơ đồ khối của mạch mã hóa
Vào
Thập
phân
1
2
3
4 Mạch
5 mã hoá
6
7
8
9
A
8
B
4
C
2
D
1
Ra
BCD
8421
Bảng trạng thái
Vào thập
phân
1
Từ bảng trạng thái ta viết đƣợc các hàm ra:
A = 8 +9
B=4+5+6+7
C=2+3+6+7
D=1+3+5+7+9
www.ptit.edu.vn
V1.0
= (8,9)
= ( 4,5,6,7)
= (2,3,6,7)
= (1,3,5,7,9)
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
4
Ra BCD
8 4 2 1
0 0 0 1
0 0 1 0
0 0 1 1
0 1 0 0
5
0 1 0 1
6
0 1 1 0
7
0 1 1 1
8
1 0 0 0
9
1 0 0 1
2
3
81
ĐIỆN TỬ SỐ
4.3.1. Mạch mã hoá từ thập phân sang BCD 8421 (tiếp)
BÀI GIẢNG MÔN :
Căn cứ hệ phƣơng trình, ta xây dựng
đƣợc mạch điện của bộ mã hoá. Hoặc
dùng ma trận diode (cổng OR) để xây
dựng
Hoặc có thể đƣợc viết lại nhƣ sau (dùng
định lý DeMorgan) và dùng ma trận
diode (cổng AND) để xây dựng mạch:
+5V
R4
R3
R2
R1
1
2
3
A 89 8 . 9
4
B 45 6 7 4 . 5 . 6 . 7
5
C 2 3 6 7 2 . 3 . 6 . 7
6
D 1 3 5 7 9 1 . 3 . 5 . 7 . 9
7
8
9
A B
C
D
Mạch điện của bộ mã hoá dùng diode
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
82
BÀI GIẢNG MÔN :
4.3.2. Mạch mã hóa ƣu tiên
Trong bộ mã hoá vừa xét
trên, tín hiệu vào tồn tại độc
lập, (không có trƣờng hợp
có 2 tổ hợp trở lên đồng thời
tác động).
Xét nguyên tắc hoạt động và
quá trình thiết kế của bộ mã
hoá ƣu tiên 9 lối vào, 4 lối
ra.
Vào thập phân
ĐIỆN TỬ SỐ
Ra BCD
1 2 3 4 5 6 7 8 9 8 4 2 1
0 0 0 0 0 0 0 0 0 0 0 0 0
1 0 0 0 0 0 0 0 0 0 0 0 1
X 1 0 0 0 0 0 0 0 0 0 1 0
X X 1 0 0 0 0 0 0 0 0 1 1
X X X 1 0 0 0 0 0 0 1 0 0
X X X X 1 0 0 0 0 0 1 0 1
X X X X X 1 0 0 0 0 1 1 0
X X X X X X 1 0 0 0 1 1 1
X X X X X X X 1 0 1 0 0 0
X X X X X X X X 1 1 0 0 1
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
83
BÀI GIẢNG MÔN :
4.3.2. Mạch mã hóa ƣu tiên (tiếp)
ĐIỆN TỬ SỐ
D sẽ lấy logic 1 ứng với đầu vào là 1, 3, 5, 7, 9. Tuy nhiên, lối
vào 1 chỉ hiệu lực khi tất cả các lối vào cao hơn đều bằng 0; lối
vào 3 chỉ có hiệu lực khi 4, 6, 8 đều bằng 0 và tƣơng tự đối với
5, 7, 9, nghĩa là:
1=“1” và 2,4,6,8 bằng “0”
3=“1” và 4,6,8 bằng “0”
D=“1” nếu 5=“1” và 6,8 bằng “0”
7=“1” và 8 bằng “0”
9=“1”
D = 1.2.4.6.8 + 3.4.6.8 + 5.6.8 + 7.8 + 9
C = 2.4.5.8.9 + 3.4.5.8.9 + 6.8.9 + 7.8.9
B = 4.8.9 + 5.8.9 + 6.8.9 + 7.8.9
A = 8+9
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
84
BÀI GIẢNG MÔN :
4.4. Mạch giải mã
1. Dụng cụ 7 đoạn
Để hiển thị chữ số của một hệ đếm phân
bất kỳ, ta có thể dùng dụng cụ 7 đoạn. Cấu
tạo của nó nhƣ chỉ ở hình 4-15.
Các đoạn đƣợc hình thành bằng nhiều loại
vật liệu khác nhau, nhƣng phải có khả
năng hiển thị đƣợc trong các điều kiện ánh
sáng khác nhau và tốc độ chuyển mạch
phải đủ lớn. Trong kĩ thuật số, các đoạn
thƣờng đƣợc dùng là LED hoặc tinh thể
lỏng (LCD).
LED có hai loại chính: LED Anôt chung
và Ktốt chung. Do đó, logic của tín hiệu
điều khiển hai loại này là ngƣợc nhau.
www.ptit.edu.vn
V1.0
ĐIỆN TỬ SỐ
a
b
f
g
c
e
d
Cấu tạo dụng cụ 7 đoạn sáng
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
85
BÀI GIẢNG MÔN :
4.4.2 Mạch giải mã 7 đoạn
D
C
B
A
Mạch
1 giải mã
2 7 đoạn
4
8
a
b
c
d
e
f
g
b) Sơ đồ khối của mạch
giải mã 7 đoạn sáng
Do đó, ta viết đƣợc hàm ra:
a = (0,2,3,5,6,7,8,9).
Tƣơng tự, ta có:
b = (0,1,2,3,4,7,8,9),
c = (0,1,3,4,5,6,7,8,9),
d = (0,2,3,5,6,8,9),
e = (0,2,6,8),
f = (0,4,5,6,8,9),
g = (2,3,4,5,6,8,9).
A
B
C
D
0
0
0
0
0
0
0
0
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
0
0
1
1
0
0
0
1
0
1
0
1
0
1
0
1
a
b
c
ĐIỆN TỬ SỐ
d
0
0
0
0
1
0
0
1
0
0
1
0
0
0
0
0
1
0
0
1
0
1
0
0
0
1
0
0
0
0
0
1
0
0
0
0
0
0
0
0
Bảng trạng thái bộ giải mã
e
f
g
Hiển
thị
0
1
0
1
1
1
0
1
0
1
0
1
1
1
0
0
0
1
0
0
1
1
0
0
0
0
0
1
0
0
0
1
2
3
4
5
6
7
8
9
IC 7447, 74247 (Anốt chung), 7448 (K chung ), 4511 (CMOS) là các IC giải mã từ
NBCD sang thập phân theo phƣơng pháp hiển thị 7 đoạn.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
86
BÀI GIẢNG MÔN :
4.4.3. Mạch giải mã nhị phân
Bộ giải mã nhị phân còn có tên là bộ giải
mã "1 từ n", bộ giải mã địa chỉ hoặc bộ
chọn địa chỉ nhị phân. Chức năng của nó
là lựa chọn duy nhất một lối ra (lấy giá
trị 1 hoặc 0), khi tác động tới đầu vào
một số nhị phân.
A0
ĐIỆN TỬ SỐ
D0
Bộ giải mã
nhị phân
A1
D1
An-1
D2n- 1
Sơ đồ khối của bộ giải mã nhị phân
E
0
1
1
1
1
A1
x
0
0
1
1
www.ptit.edu.vn
V1.0
A0
x
0
1
0
1
D0
D1
0
0
1
0
0
1
0
0
0
0
Bảng trạng thái
D2
0
0
0
1
0
D3
0
0
0
0
1
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
D0 E.A1.A 0
D1 E.A1.A 0
D 2 E.A1.A 0
D3 E.A1.A 0
87
4.5. Bộ hợp kênh và phân kênh
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Bộ hợp kênh (MUX-Multiplexer)
Định nghĩa: Bộ hợp kênh là mạch có 2n lối vào dữ liệu, n lối vào điều
khiển, 1 lối vào chọn mạch và 1 lối ra.
Tuỳ theo giá trị của n lối vào điều khiển mà lối ra sẽ bằng một trong
những giá trị ở lối vào (Xj). Nếu giá trị thập phân của n lối vào điều khiển
bằng j thì Y = Xj.
Bộ phân kênh (DEMUX-DeMultiplexer)
Định nghĩa: Bộ phân kênh là mạch có 1 lối vào dữ liệu, n lối vào điều
khiển, 1 lối vào chọn mạch và 2n lối ra.
Tuỳ theo giá trị của n lối vào điều khiển mà lối ra thứ i (Yi) sẽ bằng giá trị
của lối vào. Cụ thể nếu gọi n lối vào điều khiển là An-1An-2…A0 thì Yi =
X khi (An-1An-2…A0)2 = (i)10.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
88
ĐIỆN TỬ SỐ
BÀI GIẢNG MÔN :
4.5.1. Bộ hợp kênh (MUX-Multiplexer)
Phƣơng trình tín hiệu ra của MUX 2n 1:
Y X0 (An 1 An 2 ...Ai ...A0 ) X1 (A n 1 A n 2 ...Ai ...A0 ) ... X 2n 1 (A n 1A n 2 ...Ai ...A1A0 )
En
X0
X1
MUX
2n 1
Xj
Y- Lối ra
X0
X1
A0
A1
A2
Y
Vào điều
khiển
Vào
liệu
Xj
X2n-1
74151
dữ
X2n-1
(b). MUX là một chuyển mạch điện tử
An-1 An-2 A0
n lối vào điều khiển
(a) Sơ đồ khối
E1
Vào cho
phép
E2
Bộ hợp kênh MUX 2n 1
Thực chất, MUX là chuyển mạch điện tử dùng các tín hiệu điều khiển (An-1An-2…A0)
để điều khiển sự nối mạch của lối ra với 1 trong số 2n lối vào.
MUX đƣợc dùng nhƣ 1 phần tử vạn năng để xây dựng những mạch tổ hợp khác.
IC 74151 là bộ MUX 8 lối vào dữ liệu - 1 lối ra.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
89
G
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Headline
(Times
New Roman Black 36pt.)
4.5.1. Bộ hợp
kênh (MUX-Multiplexer)
B
A
Y
X
X
H
L
L
L
L
D0
L
H
L
D1
H
L
L
D2
H
H
L
D3
Bảng chức năng của bộ hợp kênh 4:1.
G
D0
D1
Y
D2
D3
B
A
Hình 4-8. Sơ đồ logic bộ MUX 4:1
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BàiTHUẬT
giảng ĐIỆN
Điện tử
BỘ MÔN: KỸ
TỬ-sốKHOA KTDT1
90
BÀI GIẢNG MÔN :
4.5.2. Bộ phân kênh (DEMUX-DeMultiplexer)
Phƣơng trình tín hiệu ra của DEMUX 1 2n :
ĐIỆN TỬ SỐ
Y0 X.A n 1 A n 2 ...Ai ...A 0
Y1 X.A n 1 A n 2 ...Ai ...A1A 0
..............
En
Chọn mạch
MUX
2n 1
Y0
Y1
Yj
Lối vào X
Y0
Y1
X
Y2n 1 X.A n 1.A n 2 ...Ai ...A 0
Yj
Lối vào
Y2n-1
Y2n-1
(b). DEMUX là một chuyển mạch điện tử
An-1 An-2 A0
n lối vào điều khiển
(a) Sơ đồ khối
Hình 4-19. Bộ phân kênh DEMUX 1 2n
Bộ phân kênh còn đƣợc gọi là bộ giải mã 1 trong 2n.
Tại một thời điểm chỉ có 1 trong số 2n lối ra ở mức
tích cực.
A0
A1
A2
74138
Vào điều
khiển
Vào dữ
liệu
Vào cho
phép
IC 74138 là bộ DEMUX 1 lối vào dữ liệu - 8 lối ra.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
91
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Headline
(Times
New Roman Black 36pt.)
4.5.2. Bộ phân
kênh (DEMUX-DeMultiplexer)
B
A Y0 Y1 Y2 Y3
0
0
D
0
0
0
0
1
0
D
0
0
1
0
0
0
D
0
1
1
0
0
0
D
Bảng 4-7. Bảng trạng thái của bộ
phân kênh 1 vào 4 ra.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BàiTHUẬT
giảng ĐIỆN
Điện tử
BỘ MÔN: KỸ
TỬ-sốKHOA KTDT1
92
BÀI GIẢNG MÔN :
4.6. Mạch cộng. 4.6.1. Mạch bán tổng
Ai
Bi
Si
Ci
0
0
0
0
0
1
1
0
1
0
1
0
1
1
0
1
Bảng 4-8. Bảng trạng thái của
mạch HA
a)
ĐIỆN TỬ SỐ
Ai
Bi
Si
HA
Ai
Bi
Ci
Si
b)
Si Ai Bi
Ci
Ci Ai .Bi
a) Sơ đồ khối của HA, b) Sơ đồ logic
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
BÀI GIẢNG MÔN :
4.6. Mạch cộng. 4.6.2. Mạch toàn tổng
Bảng trạng thái
Ci-1
0
0
0
0
1
1
1
1
ai
0
0
1
1
0
0
1
1
bi
0
1
0
1
0
1
0
1
Si
0
1
1
0
1
0
0
1
Si ai bi Ci 1
Ci ai bi ai bi Ci 1
V1.0
Ci-1
ai
bi
Si
Ci
Gi Pi
a) Mạch điện
Si
Ci-1
Ci ai bi Ci 1 ai bi Ci 1 ai bi Ci 1
www.ptit.edu.vn
Ci
0
0
0
1
0
1
1
1
ĐIỆN TỬ SỐ
TT
Pi
Ci
Gi
a i bi
b) Ký hiệu
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
94
BÀI GIẢNG MÔN :
4.6.3. Mạch cộng nhị phân song song
ĐIỆN TỬ SỐ
Ta có thể ghép nhiều bộ cộng hai số nhị một bit lại với nhau để thực
hiện phép cộng hai số nhị phân nhiều bit.
Sơ đồ khối của bộ cộng đƣợc trình bày ở dƣới, đƣợc gọi là bộ cộng
song song
Si
CRi
S2
Bộ
toàn
tổng
bi ai
CVi
CR2
S1
S0
Bộ
Bộ
Bộ
toàn
toàn
toàn
tổng CV2 CR1 tổng CV1 CR0 tổng CV0
b2 a2
b1 a1
Sơ đồ khối của bộ cộng nhị phân song song
b0 a 0
Một trong những bộ cộng thông dụng hiện nay là 7483. IC này đƣợc
sản xuất theo hai loại: 7483 và 7483A với logic vào, ra khác nhau.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
95
BÀI GIẢNG MÔN :
4.7. Mạch so sánh
ĐIỆN TỬ SỐ
Trong các hệ thống số, đặc biệt là trong máy tính, thƣờng
thực hiện việc so sánh hai số.
Hai số cần so sánh có thể là các số nhị phân, có thể là các ký
tự đã mã hoá nhị phân.
Mạch so sánh có thể hoạt động theo kiểu nối tiếp hoặc theo
kiểu song song. Trong phần này, trình bày bộ so sánh theo
kiểu song song.
Bộ so sánh bằng nhau
Bộ so sánh bằng nhau 1 bit
Bộ so sánh bằng nhau 4 bit
Bộ so sánh
Bộ so sánh 1 bit
Bộ so sánh 4 bit (So sánh lớn hơn)
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
96
BÀI GIẢNG MÔN :
4.7.1. Bộ so sánh bằng nhau
Bộ so sánh bằng nhau 1 bit
Xét 2 bit ai và bi, gọi gi là kết quả so sánh.
Ta có:
gi ai .bi ai .bi ai bi
ĐIỆN TỬ SỐ
Bảng trạng thái của bộ
so sánh bằng 1 bit
ai
bi
gi
Sơ đồ logic của hàm ra
bộ so sánh bằng 1 bit
0
0
1
ai
bi
0
1
0
1
0
0
1
1
1
gi
Bộ so sánh bằng nhau 4 bit
So sánh hai số nhị phân 4 bit A = a3a2a1a0 với B = b3b2b1b0.
Có A = B a3 = b3, a2 = b2, a1 = b1, a0 = b0.
Biểu thức đầu ra tƣơng ứng là: G = g3g2g1g0 với:
g3 a3 b3 , g2 a2 b2 , g1 a1 b1 , g0 a0 b0
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
97
BÀI GIẢNG MÔN :
4.7.2. Bộ so sánh 1 bit
Mạch điện của bộ so sánh 1 bit
Bảng trạng thái của mạch so sánh
ai
0
0
1
1
bi
0
1
0
1
f<
0
1
0
0
Biểu thức đầu ra:
f=
1
0
0
1
f>
0
0
1
0
ĐIỆN TỬ SỐ
ai
bi
f<
f=
f>
f a i . bi
f a i bi
f a i . bi
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
98
BÀI GIẢNG MÔN :
4.7.3. Bộ so sánh 4 bit (So sánh lớn hơn)
ĐIỆN TỬ SỐ
So sánh hai số nhị phân 4 bit A = a3a2a1a0 với B = b3b2b1b0. Có A > B khi:
hoặc a3 > b3,
hoặc a3 = b3, và a2 > b2,
hoặc a3 = b3, và a2 = b2, và a1 > b1,
hoặc a3 = b3, và a2 = b2, và a1 = b1, và a0 > b0.
Từ đó ta có biểu thức hàm ra là:
a3
f a3 .b3 a3 b3 .a2 .b2
a3 b3 .a2 b2 .a1.b1
a3 b3 .a2 b2 .a1 b1.a0 .b0
b3
a2
b2
f>
a1
b1
a0
b0
Mạch điện của bộ so sánh lớn hơn 4 bit
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
99
BÀI GIẢNG MÔN :
4.8. Mạch tạo và kiểm tra chẵn lẻ
ĐIỆN TỬ SỐ
Có nhiều phƣơng pháp mã hoá dữ liệu để phát hiện lỗi và
sửa lỗi khi truyền dữ liệu từ nơi này sang nơi khác. Phƣơng
pháp đơn giản nhất là thêm một bit vào dữ liệu đƣợc truyền
đi sao cho số chữ số 1 trong dữ liệu luôn là chẵn hoặc lẻ. Bit
thêm vào đó đƣợc gọi là bit chẵn/lẻ.
Để thực hiện đƣợc việc truyền dữ liệu theo kiểu đƣa thêm bit
chẵn, lẻ vào dữ liệu chúng ta phải:
Xây dựng sơ đồ tạo đƣợc bit chẵn, lẻ để thêm vào n bit dữ liệu.
Xây dựng sơ đồ kiểm tra hệ xem đó là hệ chẵn hay lẻ với (n + 1) bit ở đầu
vào (n bit dữ liệu, 1 bit chẵn/lẻ).
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
100
BÀI GIẢNG MÔN :
4.8.1. Mạch tạo bit chẵn/lẻ
n bit
dữ liệu
Tạo bit
chẵn/lẻ
Xo
Xe
Sơ đồ khối tổng quát của
mạch tạo bit chẵn/lẻ
Xét trƣờng hợp 3 bit dữ liệu d1, d2, d3
Gọi Xe, X0 là 2 bit chẵn, lẻ thêm vào dữ liệu.
Từ bảng trạng thái ta thấy
Xo Xe hay Xe Xo
Và biểu thức của X0 và Xe là
Xe d1 d 2 d 3
Xo Xe d1 d 2 d 3
www.ptit.edu.vn
V1.0
ĐIỆN TỬ SỐ
Bảng trạng thái của
mạch tạo bit chẵn lẻ
Vào
Ra
d1
0
0
0
0
1
1
1
1
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
d2
0
0
1
1
0
0
1
1
d3
0
1
0
1
0
1
0
1
Xe
0
1
1
0
1
0
0
1
101
Xo
1
0
0
1
0
1
1
0
BÀI GIẢNG MÔN :
4.8.2. Mạch kiểm tra chẵn/lẻ
ĐIỆN TỬ SỐ
Vào
n bit dữ liệu
Kiểm tra
hệ chẵn/lẻ
Fo
Fe
Bit chẵn lẻ
(Xo, Xe)
Sơ đồ khối của mạch kiểm tra chẵn/lẻ
Từ bảng trạng thái của mạch kiểm tra tính
chẵn/lẻ ta thấy:
Fe = 1 nếu hệ là chẵn (Fe chỉ ra tính chẵn của hệ).
Fo = 1 nếu hệ là lẻ (Fo chỉ ra tính lẻ của hệ).
Hai hàm kiểm tra chẵn/lẻ luôn là phủ định
của nhau. Mặt khác do tính chất của hàm
cộng XOR, ta có:
Fo = d1 d2 d3 X
Fe = Fo
www.ptit.edu.vn
V1.0
Ra
d1
0
0
0
0
0
0
0
0
d2
0
0
0
0
1
1
1
1
d3
0
0
1
1
0
0
1
1
X
0
1
0
1
0
1
0
1
Fo
0
1
1
0
1
0
0
1
Fe
1
0
0
1
0
1
1
0
1
0
0
0
1
0
1
0
0
1
0
1
1
0
1
0
0
1
1
0
1
1
1
0
1
1
0
0
0
1
1
1
0
1
1
0
1
1
1
0
1
0
1
1
1
1
0
1
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
102
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
74LS180
8
9 10 11 12 13 1
I0 I1 I2
4
I3
I4 I5
I6
2
I7
OI
54/74180
3
EI
E
5
O
6
VCC = 14
GND = 7
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
103
BÀI GIẢNG MÔN :
4.9. Đơn vị số học và logic (ALU)
4
4
Thanh ghi A
Thanh ghi B
4
4
Cin
M (Mode)
F0 Chọn chức năng
F1 (Phép tính)
ALU
4
ĐIỆN TỬ SỐ
4
Ghi trạng thái
Sơ đồ khối của ALU 4 bit
Đơn vị số học và logic (Arithmetic – Logic Unit) là một thành phần cơ
bản không thể thiếu đƣợc trong các máy tính. Nó bao gồm 2 khối chính
là khối logic và khối số học và một khối ghép kênh.
Khối logic: Thực hiện các phép tính logic nhƣ là AND, OR, NOT, XOR.
Khối số học: Thực hiện các phép tính số học nhƣ là: cộng, trừ, tăng 1, giảm 1.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
104
4.10. Hazzards/Glitch
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Hazard còn đƣợc gọi là sự "sai nhầm", hoạt động lúc đƣợc
lúc không của mạch logic.
Sự "sai nhầm" này có thể xảy ra trong một mạch điện hoàn
toàn không bị hỏng linh kiện làm cho mạch hoạt động không
có sự tin cậy.
Hiện tƣợng của Hazard trong mạch tổ hợp có thể gặp là:
- Hazard chỉ xuất hiện một lần và không bao giờ gặp lại nữa.
- Hazard có thể xuất hiện nhiều lần (theo một chu kỳ nào đó hoặc không
theo một chu kỳ nào).
- Hazard có thể do chính chức năng của mạch điện gây ra. Đây là trƣờng
hợp khó giải quyết nhất khi thiết kế.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
105
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
4.10. Hazzards
Phƣơng pháp khắc phục Hazard
2
x1
1
3
x2
1
x3
www.ptit.edu.vn
V1.0
2
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
106
BÀI GIẢNG MÔN :
Nội dung
Chƣơng 1: Hệ đếm
ĐIỆN TỬ SỐ
Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm
Chƣơng 3: Cổng logic TTL và CMOS
Chƣơng 4: Mạch logic tổ hợp
• Chƣơng 5: Mạch logic tuần tự
Chƣơng 6: Mạch phát xung và tạo dạng xung
Chƣơng 7: Bộ nhớ bán dẫn.
Chƣơng 8: cấu kiện logic khả trình (PLD)
Chƣơng 9: Ngôn ngữ mô tả phần cứng (VHDL)
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
107
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Headline (Times New Roman Black 36pt.)
CHƢƠNG 5.
MẠCH LOGIC TUẦN TỰ
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BàiTHUẬT
giảng ĐIỆN
Điện tử
BỘ MÔN: KỸ
TỬ-sốKHOA KTDT1
108
BÀI GIẢNG MÔN :
Nội dung
ĐIỆN TỬ SỐ
Khái niệm chung và mô hình toán học
Phần tử nhớ của mạch tuần tự
Phƣơng pháp mô tả mạch tuần tự
Phân tích mạch tuần tự
Thiết kế mạch tuần tự
Mạch tuần tự đồng bộ
Mạch tuần tự không đồng bộ
Hiện tƣợng chu kỳ và chạy đua trong mạch không đồng bộ
Một số mạch tuần tự thông dụng
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
109
BÀI GIẢNG MÔN :
5.1. Khái niệm chung và mô hình toán học
ĐIỆN TỬ SỐ
Khái niệm chung
Mạch logic tuần tự hay còn gọi là mạch dãy - Sequential Circuit.
Hoạt động của hệ này có tính chất kế tiếp nhau, tức là trạng thái hoạt động của
mạch điện không những phụ thuộc trực tiếp lối vào mà còn phụ thuộc vào trạng
thái bên trong trƣớc đó của chính nó. Nói cách khác các hệ thống này làm việc
theo nguyên tắc có nhớ.
x
z
1
1
x2
z2
Mô hình toán học
Mạch tổ hợp
xi
zj
Z = f(Q, X)
Q1
Ql
W1
X - tập tín hiệu vào.
Wk
Mạch nhớ
Q - tập trạng thái trong trƣớc đó của mạch.
W - hàm kích.
Sơ đồ khối của mạch tuần tự.
Z - các hàm ra
Biểu diễn khác: Z = f (Q(n), X); Q (n +1) = f (Q(n), X)
Q(n +1): là trạng thái tiếp theo của mạch. Q(n): là trạng thái bên trong trƣớc
đó.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
110
BÀI GIẢNG MÔN :
5.2. Trigơ – Phần tử nhớ của mạch tuần tự
ĐIỆN TỬ SỐ
Định nghĩa: Trigơ là phần tử có khả năng lƣu trữ (nhớ) một trong hai trạng
PR
thái 0 và 1.
Cấu trúc
Các
Trigơ có từ 1 đến một vài lối điều khiển, có
lối vào
TRIGƠ
hai lối ra luôn luôn ngƣợc nhau là Q và Q .
điều
Tuỳ từng loại trigơ có thể có thêm các lối
khiển
vào lập (PRESET) và lối vào xoá (CLEAR).
Clock
Ngoài ra, trigơ còn có lối vào đồng bộ
CLR
(CLOCK). Hình bên là sơ đồ khối tổng quát
của trigơ.
Phân loại:
Theo chức năng làm việc của của các lối vào điều khiển:
Trigơ 1 lối vào nhƣ trigơ D, T;
Trigơ 2 lối vào nhƣ trigơ RS, trigơ JK.
Theo phƣơng thức hoạt động :
Trigơ không đồng bộ
Trigơ đồng bộ, có hai loại: trigơ thƣờng và trigơ chính-phụ (Master-Slave).
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
111
Q
Q
ĐIỆN TỬ SỐ
BÀI GIẢNG MÔN :
5.2.1. Trigơ RS (1)
Trigơ RS là loại có hai lối vào điều khiển S, R. Chân S gọi là lối
vào "lập" (SET) và R đƣợc gọi là lối vào "xoá" (RESET).
R
Sơ đồ khối:
S
Q
S
Q
C
R
Q
R
S
Q
Q
S
S
S
Q
Q
R
Qk
Q
0
1
X
www.ptit.edu.vn
V1.0
Mod hoạt động
Nhớ
Xóa
Lập
Cấm
Sơ đồ nguyên lý của trigơ RS và
RS đồng bộ
Q
R
Bảng TT của trigơ RS đồng bộ cổng NAND
Bảng TT của trigơ RS
R
0
1
0
1
Q
R
C
S
0
0
1
1
Q
C
0
1
1
1
1
S
X
0
0
1
1
R
X
0
1
0
1
Qk
Q
Q
0
1
X
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
Mod hoạt động
Nhớ
Nhớ
Xóa
Lập
Cấm
112
BÀI GIẢNG MÔN :
5.2.1. Trigơ RS (2)
ĐIỆN TỬ SỐ
Tri gơ RS không đồng bộ
Bảng trạng thái
Q
0
0
0
0
1
1
1
1
R
0
0
1
1
0
0
1
1
S
0
1
0
1
0
1
0
1
Bảng Các nô
Qk
0
1
0
X
1
1
0
X
RS
R
00
01
11
10
0
0
1
X
0
1
1
1
X
0
Q
Q
01/
Đồ hình trạng thái
x0/
0
RS = 0(dieu kien de tranh to hop cam)
Phƣơng trình đặc trƣng
www.ptit.edu.vn
V1.0
Q
S
1
QK = S+ R .Q
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
10/
113
0x/
BÀI GIẢNG MÔN :
5.2.2. Trigơ D
ĐIỆN TỬ SỐ
Trigơ D là loại trigơ có một lối vào điều khiển D.
Biểu thức: Qk = D, mỗi khi xuất hiện xung nhịp C.
D
Sơ đồ khối:
D
Q
D
Q
Q
C
C
Q
Bảng trạng thái
Q
0
0
1
1
D
0
1
0
1
Q
Q
Qk
0
1
0
1
Đồ hình trạng thái
1/
CP
0/
0
1
1/
D
n-1
0/
Q
Ứng dụng: thƣờng dùng làm bộ ghi dịch dữ liệu hay bộ chốt dữ liệu.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
114
BÀI GIẢNG MÔN :
5.2.3. Trigơ T
ĐIỆN TỬ SỐ
Trigơ T là loại trigơ có một lối vào điều khiển T. Mỗi khi có xung
tới lối vào T thì lối ra Q sẽ thay đổi trạng thái.
Biểu thức:
QK = TQ+ TQ = T Q
Sơ đồ khối:
T
T
T
TQ
k
Q =TQ+TQ
Q
T
Q
C
Q
Q
Q
Bảng trạng thái
T
Q Qk
0
0
0
0
1
1
1
0
1
1
1
0
Đồ hình trạng thái
1/
Bảng trạng thái
rút gọn
T
0
1
Qk
Q
Q_
TQ
Q
0/
0
1
0/
1/
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
115
BÀI GIẢNG MÔN :
5.2.4. Trigơ JK (1)
ĐIỆN TỬ SỐ
Trigơ JK là loại trigơ có hai lối vào điều khiển J, K.
Ƣu điểm hơn trigơ
RS là không còn tồn tại tổ hợp cấm bằng
Q
các đƣờng hồi tiếp từ Q về chân R và từ về S.
Trigơ JK còn có thêm đầu vào đồng bộ C. Trigơ có thể lập
hay xoá trong khoảng thời gian ứng với sƣờn âm hoặc sƣờn
dƣơng của xung đồng bộ C. Ta nói, trigơ JK thuộc loại đồng
bộ.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
116
BÀI GIẢNG MÔN :
5.2.4. Trigơ JK (2)
Bảng TT đầy đủ
J
0
0
0
0
1
1
1
1
K
0
0
1
1
0
0
1
1
Q
0
1
0
1
0
1
0
1
U5
J
Bảng TT
rút gọn
Qk
0
1
0
0
1
1
1
0
J
0
0
1
1
K
0
1
0
1
U3
Q
Qk
Q
0
1
Q‟
NAND_2
NAND_2
U4
U2
Q_
K
NAND_2
NAND_2
Phƣơng trình
đặc trƣng
Bảng TT của trigơ
JK đồng bộ
U7
Q
C
0
NAND_3
NAND_2
C
U6
U1
J
ĐIỆN TỬ SỐ
1
U8
Q_
K
NAND_2
J
X
0
0
1
1
K
X
0
1
0
1
Qk
Q
Q
0
1
Q‟
Qn 1 J.Qn K.Qn
NAND_3
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
117
BÀI GIẢNG MÔN :
5.3. Bảng hàm kích thích của các loại Trigơ
Q
Qk
S
R
J
K
T
D
0
0
0
X
0
X
0
0
0
1
1
0
1
X
1
1
1
0
0
1
X
1
1
0
1
1
X
0
X
0
0
1
www.ptit.edu.vn
V1.0
ĐIỆN TỬ SỐ
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
118
BÀI GIẢNG MÔN :
5.4. Trigơ Chính-Phụ (Master-Slave)
ĐIỆN TỬ SỐ
Do các loại trigơ đồng bộ trên đều hoạt động tại sƣờn dƣơng
hay sƣờn âm của xung nhịp nên khi làm việc ở tần số cao thì
lối ra Q không đáp ứng kịp với sự thay đổi của xung nhịp,
dẫn đến mạch hoạt động ở tình trạng không đƣợc tin cậy.
Lối ra của trigơ MS thay đổi tại sƣờn dƣơng và sƣờn âm của
xung nhịp, nên cấu trúc của nó gồm 2 trigơ giống nhau
nhƣng cực tính điều khiển của xung Clock thì ngƣợc nhau
để đảm bảo sao cho tại mỗi sƣờn của xung sẽ có một trigơ
hoạt động.
C
> TRIGƠ
MASTER
www.ptit.edu.vn
V1.0
> TRIGƠ
SLAVE
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
119
BÀI GIẢNG MÔN :
5.5. Lối vào không đồng bộ của Trigơ
ĐIỆN TỬ SỐ
Các lối vào dữ liệu thông thƣờng của trigơ nhƣ D, S, R, J hoặc K
là những lối vào đồng bộ
Các trigơ còn có thêm 2 đầu vào không đồng bộ, các lối này tác
động trực tiếp lên các lối ra mà không phụ thuộc vào xung Clock
Các lối vào này thƣờng đƣợc ký hiệu là: PRE (lập) và CLR (R xóa) hoặc PRE và CLR (R)
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
120
BÀI GIẢNG MÔN :
5.6. Một số IC Trigơ thông dụng
ĐIỆN TỬ SỐ
Trigơ JK: IC 54/7473- IC này gồm hai trigơ JK có lối vào xóa và
không có lối vào lập hoạt độngtại sƣờn âm của xung Clock
Trigơ D: IC 54/7474- IC này gồm hai trigơ D có lối vào xóa và lối
vào lập, hoạt động tại sƣờn dƣơng của xung Clock
Trigơ JK: IC 54/7476- IC này gồm hai trigơ JK có lối vào xóa và
lối vào lập, hoạt động tại sƣờn âm của xung Clock.
Q1
J1
14
1
CLK1
GND
Q1
13
12
2
CLR1 K1
11
3
4
VCC
www.ptit.edu.vn
V1.0
K2
Q2
10
5
Q2
9
8
6
CLK2 CLR2
7
J2
VCC
CLR2 D2
CLK2 PR2 Q2
14
13
12
11
10
1
2
3
4
5
CLK1
D1
CLK1 PR1 Q1
Q1
K1 Q1 Q1 GND K2 Q2 Q 2 J2
16 15 14 13 12 11 10 9
Q2
9
8
6
7
GND
1
2
3
CLK1 PR1 CLR1 J1
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
4
5
6
7
8
VCC CLK2 PR2 CLR2
121
BÀI GIẢNG MÔN :
5.7. Phƣơng pháp mô tả mạch tuần tự
ĐIỆN TỬ SỐ
Phƣơng trình logic (hay phƣơng pháp đại số)
Dùng các phƣơng trình logic để mô tả trạng thái và đầu ra.
Bảng trạng thái
Bảng chuyển đổi trạng thái
Bảng tín hiệu ra
Đồ hình trạng thái
Mô hình Mealy thực hiện ánh xạ
Mô hình Moore
Đồ thị dạng xung
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
122
BÀI GIẢNG MÔN :
5.7.1. Bảng trạng thái (1)
Bảng chuyển đổi trạng thái
Bao gồm các hàng và các cột
Các hàng ghi các trạng thái trong
ĐIỆN TỬ SỐ
các cột ghi các giá trị của tín hiệu vào.
Các ô ghi giá trị các trạng thái trong kế tiếp mà mạch sẽ
chuyển đến ứng với các giá trị ở hàng và cột
Tín hiệu vào
Trạng thái
trong
V
S
S1
V1
V2
…….
Vn
→
Trạng thái kế tiếp
Qk
S2
.
.
Sn
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
123
BÀI GIẢNG MÔN :
5.7.2. Bảng trạng thái (2)
ĐIỆN TỬ SỐ
Bảng tín hiệu ra
Các hàng của bảng ghi các trạng thái trong
Các cột ghi các tín hiệu vào.
Các ô ghi giá trị của tín hiệu ra tƣơng ứng.
Tín hiệu vào
Trạng
thái
trong
V
S
S1
V1
V2
…….
Vn
→
Tín hiệu ra
S2
:
:
Sn
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
124
5.7.2. Bảng trạng thái (3)
Trạng thái
trong
V
S
S1
S2
:
Sn
Tín hiệu vào
V1
V2 ……
.
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Vn
Q1n 1 / Z
Qn2 1 / Z
→ Trạng thái kế tiếp Qn+1 và
tín hiệu ra Z
Qin 1 / Z
Bảng 5-12. Bảng chuyển đổi trạng thái và tín hiệu ra
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
125
BÀI GIẢNG MÔN :
5.7.3. Đồ hình trạng thái
Đồ hình trạng thái là hình vẽ
phản ánh quy luật chuyển đổi
trạng thái và tình trạng các giá
trị ở lối vào và lối ra tƣơng ứng
của mạch tuần tự.
ĐIỆN TỬ SỐ
1/
0/
0
1
1/
0/
Đồ hình trạng thái là một đồ hình
có hƣớng gồm hai tập:
Q
D
Qk
0
0
0
0
1
1
Mô hình Mealy
1
0
0
Mô hình Moore
1
1
1
M - Tập các đỉnh và K - Tập các
cung có hƣớng.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
126
BÀI GIẢNG MÔN :
5.7.4. Phân tích mạch tuần tự - Lý thuyết
ĐIỆN TỬ SỐ
Viết chƣơng trình logic:
Viết chƣơng trình logic cho lối vào đồng bộ, chỉ ra điểu
kiện chuyển trạng thái của các phần tử nhớ.
Viết c.trình logic
Xác định hàm ra:
Xác định hàm ra
Tìm hàm kích thích:
Căn cứ loại TG để tìm kích thích, phƣơng trình chuyển đổi
trạng thái (chính là phƣơng trình đặc trƣng của TG đã cho). Tìm hàm kích thích
Phƣơng trình chuyển đổi trạng thái:
Xác định số tổ hợp trạng thái và thay các tổ hợp này vào các
phƣơng trình kích thích, phƣơng trình chuyển đổi trạng thái Pt chuyển đổi TT
để tính bảng chuyển đổi trạng thái.
Vẽ đồ hình trạng thái dƣới dạng nhị phân hoặc dạng rút
gọn
Vẽ đồ thị dạng xung gồm:
Xung đồng hồ,
Xung của mỗi biến trạng thái,
Xung ra.
www.ptit.edu.vn
V1.0
Đồ hình trạng thái
Đồ thị dạng xung
Các bước phân tích mạch tuần tự
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
127
BÀI GIẢNG MÔN :
5.7.4. Phân tích mạch tuần tự - Ví dụ
Bước 1: Sơ đồ có hai đầu vào là tín
hiệu X và xung nhịp Clock. Có một
tín hiệu Z ra, mạch sử dụng hai
phần tử nhớ là hai trigơ JK (Q0 và
Q1).
J0
ĐIỆN TỬ SỐ
J1
Q0
Q1
>
>
X
1
K1
K0 Q0
Q1
Clock
Bước 2: Xác định đầu vào, đầu ra
và số trạng thái trong của mạch.
Mạch này có thể đƣợc biểu diễn
bằng một “hộp đen” có hai đầu vào
và một đầu ra. Do mạch đƣợc cấu
tạo bằng hai trigơ nên số trạng thái
có thể có của mạch là 4. Cụ thể
là:Q1Q0 = 00, 01, 10 và 11.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
128
Z
BÀI GIẢNG MÔN :
5.7. 4.Phân tích mạch tuần tự - Ví dụ
ĐIỆN TỬ SỐ
Bước 3: Xác định phƣơng trình hàm ra và hàm kích cho
trigơ.
Từ sơ đồ trên ta tìm đƣợc:
Phƣơng trình hàm ra: Z = C Q1 Q0
Phƣơng trình hàm kích
J0 = Q1; K0 = 1
X Q0 X Q0
Q0
J1 =
; K1 =
Bước 4. Bảng chuyển đổi trạng tháiQk J Q K Q
Phƣơng trình đặc trƣng của trigơ JK là
Phƣơng trình chuyển đổi trạng thái:
Q0k J 0 Q0 K 0 Q0 Q1 Q0
Q1k J1 Q1 K1 Q1 Q0 Q1 X Q0 Q1 Q0 Q1 X Q0 Q1
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
129
BÀI GIẢNG MÔN :
5.7.4. Phân tích mạch tuần tự - Ví dụ
Trạng thái hiện tại
S
Trạng thái kế tiếp
ĐIỆN TỬ SỐ
Tín hiệu ra
Q0Q1
X=0
Q0Q1
X=1
Q0Q1
X=0
Z
X=1
Z
00
01
01
0
0
01
10
11
0
0
11
00
00
1
1
10
00
00
0
0
0
S
00
S0
1
S
2
S
S1
X
3
01
X
. Bảng chuyển đổi trạng thái
S3
10
11
S2
Z=1
Bước 5: Đồ hình trạng thái.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
130
BÀI GIẢNG MÔN :
5.7. 4.Phân tích mạch tuần tự - Ví dụ
ĐIỆN TỬ SỐ
Bƣớc 6: Chức năng của mạch:
Trên đồ hình trạng thái ta thấy có hai đƣờng chuyển đổi trạng thái là S0 →
S1-→ S2 → S--0 và S0 → S1-→ S3 → S--0.
Theo đƣờng S0 → S1-→ S2 → S--0 thì tín hiệu ra Z = 1 sẽ đƣợc đƣa ra cùng
thời điểm có xung nhịp thứ 3.
Theo đƣờng S0 → S1-→ S3 → S--0 thì không có tín hiệu ra (Z = 0). Do vậy ta sẽ
phân tích theo con đƣờng thứ nhất S0 → S1-→ S2 → S--0 : Sự chuyển đổi trạng
thái đầu tiên từ S0 → S1 chỉ nhờ tác động của xung nhịp mà không phụ thuộc
vào trạng thái của X.
Chuyển đổi trạng thái thứ hai từ S1S2 nhờ tác động của xung nhịp và sự tác
động của tín hiệu vào X = 1.
Còn sự chuyển đổi trạng thái thứ ba từ S2 S0 chỉ nhờ tác động của xung nhịp
mà không phụ thuộc vào tín hiệu vào.
X
0
011
1
011
Clock
0
1
1
2
1
3
0
1
0
2
1
1
1
3
1
2
Z = C Q1Q 0
Z = Q1 Q0
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
0
131
3
BÀI GIẢNG MÔN :
5.7.5. Thiết kế mạch tuần tự
Bài toán ban đầu:
Nhiệm vụ thiết kế đƣợc mô tả bằng ngôn ngữ hoặc bằng lƣu đồ
thuật toán.
Hình thức hoá:
Từ các dữ kiện đề bài cho mà ta mô tả hoạt động của mạch bằng
cách hình thức hoá dữ kiện ban đầu ở dạng bảng trạng thái, bảng
ra hay đồ hình trạng thái. Sau đó rút gọn các trạng thái của mạch
để có đƣợc số trạng thái trong ít nhất.
Mã hoá trạng thái:
Mã hoá tín hiệu vào ra, trạng thái trong để nhận đƣợc mã nhị
phân (hoặc có thể là các loại mã khác) có tập tín hiệu vào là X,
tập tín hiệu ra là Y, tập các trạng thái trong là Q.
Hệ hàm của mạch:
Xác định hệ phƣơng trình logic của mạch và tối thiểu hoá các
phƣơng trình này. Nếu mạch tuần tự khi thiết kế cần dùng các
trigơ và mạch tổ hợp thì tuỳ theo yêu cầu mà ta viết hệ phƣơng
trình cho các lối vào kích cho từng loại trigơ đó.
ĐIỆN TỬ SỐ
Bài toán ban đầu
Hình thức hoá
Mã hoá trạng thái
Hệ hàm của mạch
Sơ đồ
Xây dựng sơ đồ
Các bước thiết kế mạch tuần tự
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
132
BÀI GIẢNG MÔN :
5.7.5.1.Các bƣớc thiết kế mạch tuần tự đồng bộ
Bước 1: Xác định bài toán, gán hàm và biến, tìm hiểu
mối quan hệ giữa chúng.
Bước 2: Xây dựng đồ hình trạng thái, bảng chuyển đổi
trạng thái và hàm ra.
ĐIỆN TỬ SỐ
Bài toán ban đầu
Xây dựng đồ hình trạng thái
bảng chuyển đổi trạng thái
Bước 3: Rút gọn trạng thái (tối thiểu hoá trạng thái).
Bước 4: Mã hoá trạng thái.
Nếu số lƣợng trạng thái trong là N, số biến nhị phân
cần dùng là n thì n phải thoả mãn điều kiện: n
log2N.
Bước 5: Xác định hệ phƣơng trình của mạch. Có hai
cách xác định:
+ Lập bảng chuyển đổi trạng thái và tín hiệu ra, từ đó
xác định các phƣơng trình kích cho các trigơ.
+ Dựa trực tiếp vào đồ hình trạng thái, viết hệ phƣơng
trình Ton, Toff của các trigơ và phƣơng trình hàm ra.
Rút gọn trạng thái
Mã hóa trạng thái
Xác định hệ phƣơng
trình
Bước 6: Vẽ sơ đồ thực hiện.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
Sơ đồ
133
ĐIỆN TỬ SỐ
5.7.5.2. Các bƣớc thiết kế mạch tuần tự không đồng bộ
BÀI GIẢNG MÔN :
Bước 1: Xác định bài toán, gán hàm và biến, tìm hiểu mối quan hệ giữa chúng.
Bước 2: Xây dựng đồ hình trạng thái, bảng chuyển đổi trạng thái và hàm ra.
Bước 3: Rút gọn trạng thái (tối thiểu hoá trạng thái).
Bước 4: Mã hoá trạng thái.
Nếu số lƣợng trạng thái trong là N, số biến nhị phân cần dùng là n thì n phải thoả mãn
điều kiện: n log2N.
Do mạch không đồng bộ hoạt động không có sự tác động của xung nhịp cho nên trong
mạch thƣờng có các hiện tƣợng chạy đua làm cho hoạt động của mạch bị sai, vì vậy khi
mã hoá trạng thái phải tránh hiện tƣợng này.
Bước 5: Xác định hệ phƣơng trình của mạch. Có hai cách xác định:
Lập bảng chuyển đổi trạng thái và tín hiệu ra, xác định các phƣơng trình kích cho trigơ.
Dựa trực tiếp vào đồ hình trạng thái, viết hệ phƣơng trình Ton, Toff của các trigơ và
phƣơng trình hàm ra.
Cả hai cách này đều có dạng phƣơng trình:
Phƣơng trình của mạch chỉ dùng NAND.
Phƣơng trình của mạch dùng trigơ RS không đồng bộ và các mạch NAND.
Phƣơng trình của mạch dùng các loại trigơ khác.
Bước 6: Vẽ sơ đồ thực hiện.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
134
BÀI GIẢNG MÔN :
5.7.5.3. Thiết kế mạch tuần tự
ĐIỆN TỬ SỐ
Cách 1: Dựa vào bảng chuyển đổi trạng thái.
Ký hiệu : A, B, …N là các biến nhị phân dùng để mã hoá các trạng thái trong của mạch.
X1, X2…Xm là các tín hiệu vào đã được mã hoá nhị phân.
Z1, Z2…Zm là các tín hiệu ra đã được mã hoá nhị phân.
Dựa vào bảng chuyển đổi trạng thái xác định hệ phương trình:
Ak = fA (A, B, …N , X1, X2…Xm )
Bk = fB (A, B, …N , X1, X2…Xm )
……
Nk = fN (A, B, …N , X1, X2…Xm )
Z1 = g1 (A, B, …N , X1, X2…Xm )
Z2 = g2 (A, B, …N , X1, X2…Xm )
……
Zn = gn (A, B, …N , X1, X2…Xm )
Tối thiểu hoá hệ hàm và viết phương trình ở dạng chỉ dùng NAND.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
BÀI GIẢNG MÔN :
5.7.5.3. Thiết kế mạch tuần tự
ĐIỆN TỬ SỐ
Cách 2: Dựa trực tiếp vào đồ hình trạng thái
Cho đồ hình trạng thái của mạch có tập tín hiệu vào V, tập tín hiệu ra R,
tập trạng thái trong S (chƣa mã hoá nhị phân).
Các bƣớc thiết kế
Mã hoá tín hiệu vào V, tín hiệu ra R, trạng thái trong S để chuyển thành
mạch dạng nhị phân có các tập tín hiệu vào X, tín hiệu ra Y, trạng thái
trong Q.
Xác định hệ phƣơng trình tín hiệu ra: Yi = fi (X, Q). Phƣơng trình này
đƣợc xác định trên các cung với mô hình kiểu Mealy, trên các đỉnh với
mô hình kiểu Moore. Tối thiểu các hàm này.
Xác định hệ phƣơng trình hàm kích cho các trigơ và tối thiểu hoá nó.
Sau đây giới thiệu thuật toán xác định phƣơng trình lối vào kích cho các
trigơ từ đồ hình trạng thái.
Đối với trigơ Qi bất kỳ sự thay đổi trạng thái từ Qi đến Qki chỉ có thể có
4 khả năng.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
136
BÀI GIẢNG MÔN :
5.7.5.3. Thiết kế mạch tuần tự
các cung biểu diễn sự thay đổi từ đến đƣợc
ký hiệu nhƣ sau:
0 0 là (0)
1 1 (là 1)
0 1 là (2)
1 0 là (3).
ĐIỆN TỬ SỐ
Qi = 0
Qi = 1
0
1
2
3
Thuật toán xác định phương trình lối vào kích
cho trigơ Qi loại D.
n 1
Qi Di
Di Qin 1
= tuyển tất cả các cung đi tới đỉnh có Qi = 1.
= các cung loại (2), kể cả khuyên tại đỉnh đó
tức là cung loại 1
= (1) và (2)
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
137
ĐIỆN TỬ SỐ
BÀI GIẢNG MÔN :
5.7.5.4. Ví dụ
Thiết kế bộ đếm đồng bộ có Mđ = 5
000
0
Q1'
100
1
4
Q3' Q'2Q1'
011
Q2Q1
Q3
00
Q'2Q1'
2
3
01
11
10
001
010
0
0
1
3
2
1
4
x
x
x
Bảng 5-16. Bảng mã hóa trạng thái
'
1
Q
Hình 5-38. Đồ hình trạng thái
Q2Q1
Q3
00
01
11
10
V1.0
01
11
10
0
1
2
4
3
0
0
0
0
0
1
0
x
x
x
1
1
x
x
x
\
Bảng 5-17. Bảng chuyển đổi trạng thái
www.ptit.edu.vn
Q2Q1
Q3
00
Q3
Y = Q3
Bảng 5-18. Bảng Các nô tìm hàm ra
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
138
BÀI GIẢNG MÔN :
5.7.5.4. Ví dụ
ĐIỆN TỬ SỐ
Dùng trigơ D
Nhìn vào đồ hình trạng thái ta thấy: Q3 = 1 tại đỉnh (4), Q2
= 1 tại đỉnh (2), (3), Q2 = 1 tại đỉnh (1), (3).
D3 = Các cung đi đến đỉnh (4) = (3) = Q3 Q2 Q1
D2 = Các cung đi đến đỉnh (2), (3) = (1) + (2) =
Q3 Q2 Q1 Q3 Q2 Q1
D1 = Các cung đi đến đỉnh (1), (3) = (0) + (2) =
Q3 Q2 Q1 Q3 Q2 Q1
Từ đó ta lập bảng Các nô để tối thiểu hóa hàm Di
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
139
BÀI GIẢNG MÔN :
5.7.5.4. Ví dụ
ĐIỆN TỬ SỐ
Dùng trigơ D
D3 = Q2Q3
D2 = Q2 Q3 Q2 Q3 Q2 Q3
D1 = Q1 Q3
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
140
BÀI GIẢNG MÔN :
5.8. Một số mạch tuần tự thông dụng
ĐIỆN TỬ SỐ
Bộ đếm
Bộ ghi dịch
…
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
141
BÀI GIẢNG MÔN :
5.8.1. Bộ đếm
ĐIỆN TỬ SỐ
Định nghĩa : Bộ đếm là một mạch tuần tự tuần hoàn có một lối vào đếm
và một lối ra, mạch có số trạng thái trong bằng chính hệ số đếm (ký hiệu
là Md).
Dƣới tác dụng của tín hiệu vào đếm, mạch sẽ chuyển từ trạng thái trong
này đến một trạng thái trong khác theo một thứ tự nhất định.
Cứ sau Md tín hiệu vào đếm mạch lại trở về trạng thái xuất phát ban
đầu.
Bộ đếm đƣợc dùng nhiều trong các dụng cụ đo lƣờng chỉ thị số, các máy
tính điện tử và trong các hệ thống số khác.
X d/0
Xd
Bộ đếm
Hệ số đếm = Md
Y
0
X d/0
X d/0
1
X d/0
X d/0
2
X d/0
X d/0
Md-2
X d/0
X d/0
X d/1
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
142
Md-1
BÀI GIẢNG MÔN :
5.8.1. Bộ đếm: 5.8.1.1.Bộ Phân loại:
Theo sự chuyển đổi trạng thái:
ĐIỆN TỬ SỐ
Bộ đếm đồng bộ (Synchronous): Các trigơ đều chịu tác dụng điều khiển của một
xung đồng hồ duy nhất
Bộ đếm không đồng bộ (Asynchronous): có trigơ chịu tác dụng điều khiển trực
tiếp của xung đếm đầu vào, nhƣng cũng có trigơ chịu tác dụng điều khiển của
xung ở đầu ra của trigơ khác .
Theo hệ số đếm
Bộ đếm nhị phân
Bộ đếm thập phân
Bộ đếm N phân
Theo xung đếm
Bộ đếm thuận (Up counter) hay còn gọi là bộ đếm tiến
Bộ đếm nghịch (Down counter) hay còn gọi là bộ đếm lùi
Bộ đếm thuận nghịch
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
143
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Q3
Q4
5.8.1. Bộ đếm: 5.8.1.2.Bộ đếm đồng bộ
Q2
Q1
1
J
o> F1
K
Q
_
Q
J
o> F2
K
Q
_
Q
J
o> F3
K
Q
_
Q
CLEAR
CLK
Hình 5-60. Bộ đếm thuận nhị phân đồng bộ 4 bit
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
J
o> F4
K
Q
_
Q
BÀI GIẢNG MÔN :
5.8.1. Bộ đếm: 5.8.1.2.Bộ đếm đồng bộ
Q4n
Q3n
Q2n
0
0
0
0
0
0
Q4n1
Q3n1
Q2n1
Q1n1
0
0
0
0
1
0
1
0
0
1
0
0
1
0
0
0
1
1
0
0
1
1
0
1
0
0
0
1
0
0
0
1
0
1
0
1
0
1
0
1
1
0
0
1
1
0
0
1
1
1
0
1
1
1
1
0
0
0
1
0
0
0
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
0
1
1
1
0
1
1
1
1
0
0
1
1
0
0
1
1
0
1
1
1
0
1
1
1
1
0
1
1
1
0
1
1
1
1
1
1
1
1
0
0
0
0
Q1n
Bảng 5-38. Bảng trạng thái của bộ đếm
www.ptit.edu.vn
V1.0
ĐIỆN TỬ SỐ
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
ĐIỆN TỬ SỐ
BÀI GIẢNG MÔN :
5.8.1. Bộ đếm: 5.8.1.2.Bộ đếm đồng bộ
0000
/0
/0
0001
0010
/0
/0
0011
0100
/0
/0
0101
0110
/0
/0
/1
1111
/0
/0
1110
/0
1101
/0
1011
/0
1010
/0
1001
Hình 5-61. Đồ hình trạng thái của bộ đếm nhị phân
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
CLK
Q1
Q2
Q3
Q4
Hình 5-62. Dạng sóng bộ đếm thuận nhị phân đồng bộ
www.ptit.edu.vn
V1.0
0111
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
1000
/0
0111
BÀI GIẢNG MÔN :
5.8.1. Bộ đếm: 5.8.1.2. Bộ đếm đồng bộ
ĐIỆN TỬ SỐ
Phân tích và cho biết chức năng của mạch
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
BÀI GIẢNG MÔN :
5.8.1. Bộ đếm: 5.8.1.3. Bộ đếm không đồng bộ
ĐIỆN TỬ SỐ
Phân tích và cho biết chức năng của mạch
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
BÀI GIẢNG MÔN :
5.8.1. Bộ đếm: 5.8.1.3. Bộ đếm không đồng bộ
ĐIỆN TỬ SỐ
Phân tích và cho biết chức năng của mạch
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
BÀI GIẢNG MÔN :
5.8.1.4. IC đếm-IC 74192, 74193
ĐIỆN TỬ SỐ
Trong các bộ đếm này, khi thức hiện đếm thuận thì xung Clock đƣợc nối
với CLK-UP, còn chân CLK-DOWN đƣợc nối với logic 1; khi đếm
nghịch thì ngƣợc lại.
Các chân CARRY (nhớ) và BORROW (mƣợn) có logic 1 và nó sẽ chuyển
mức thấp khi tràn mức hoặc dƣới mức.
Chân LOAD = 0 có thể nạp dữ liệu vào bộ đếm.
Lối ra
QA QB QC QC(MSB) Carry Borrow
CLK - UP
CLK - DOWN
74192, 74193
CLEAR
PA PB PC PD
L
(MSB)
Lối vào nạp dữ
liệu
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
150
BÀI GIẢNG MÔN :
5.8.1.4. IC đếm-IC 7490, 74390
ĐIỆN TỬ SỐ
Nó bao gồm 4 trigơ cung cấp bộ đếm gồm hai Mod đếm: Mod 2 và Mod
5.
Các bộ đếm Mod 2 và Mod 5 có thể đƣợc sử dụng một cách độc lập.
Trigơ A thực hiện đếm Mod 2, Trigơ B, C, D thực hiện đếm Mod 5.
IC 74390 là bản kép (dual) của 7490
Lối ra
QA
QB
QC
QD
MSB
CLKA
TGB TGC TGD
Bộ đếm Mod 5
Trigơ A
(Bộ đếm
Mod 2)
CLKB
R1
R2
S1
S2
Lối vào xóa Lối vào lập
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
151
BÀI GIẢNG MÔN :
5.8.1.4. IC đếm-IC 7492, 7493, IC 74293, 74393
ĐIỆN TỬ SỐ
Nó bao gồm 4 trigơ cung cấp bộ đếm gồm hai Mod đếm: Mod 2 và Mod 6
hoặc mod 8.
Trigơ A thực hiện đếm Mod 2, Trigơ B, C, D thực hiện đếm Mod 5.
Hoạt động của những bộ đếm này giống nhƣ IC 7490, chỉ khác là không có
các lối vào lập và Mod 6 không đếm theo trình tự nhị phân.
Các IC này thƣờng không dùng làm các bộ đếm mà dùng làm bộ chia tần
Lối ra
QA
CLKA
QB
QC
QD MSB
TGC
TGD
TGB
Mod 6 của IC 7492
Mod 8 của IC 7493/74293
Trigơ A
(Bộ đếm
Mod 2)
CLKB
R1
R2
Lối vào xóa
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
152
BÀI GIẢNG MÔN :
5.8.1.4. IC đếm-dùng IC thiết kế bộ đếm bất kỳ
ĐIỆN TỬ SỐ
Một số bộ đếm có các chân xóa (CLR), lối nạp dữ liệu, chân RC
(ripple carry) ra có thể lập trình đƣợc
VD IC 74192, 74193
Để tìm một bộ đếm chia hết cho m thì đầu vào nạp P đƣợc cho bởi
công thức: P=(16-m) (nếu dùng bộ đếm hex) hoặc =10-m nếu
dùng bộ đếm thập phân
Khi bộ đếm đếm tới giá trị m thì dùng giá trị này để nối vào chân
CLR. Nhiệm vụ của chân Clear là gặp bit 1 thì xóa về 0. Nếu số bit
1 nhiều hơn số chân Clear thì ta phải dùng thêm cổng NAND
(hoặc cổng AND) tùy mức tích cực của chân Clear
Nếu bộ đếm không bắt đầu từ 0 (VD đếm từ n đến m) thì phải nạp
giá trị n khi bắt đầu đếm lại)
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
153
BÀI GIẢNG MÔN :
5.8.2. Bộ ghi dịch
ĐIỆN TỬ SỐ
Có khả năng ghi (nhớ) số liệu và dịch thông tin (sang phải
hoặc sang trái).
Đƣợc cấu tạo từ một dãy phần tử nhớ đƣợc mắc liên tiếp với
nhau và một số các cổng logic cơ bản hỗ trợ.
Muốn ghi và truyền một từ nhị phân n bit cần n phần tử nhớ
(n trigger)
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
154
BÀI GIẢNG MÔN :
5.8.2. Bộ ghi dịch-Phân loại
ĐIỆN TỬ SỐ
Phân theo cách đƣa tín hiệu vào và lấy tín hiệu ra:
Vào nối tiếp, ra song song– SIPO (Serial Input, Parallel Output)
Vào song song, ra song song – PIPO (Parallel Input, Parallel Output)
Vào nối tiếp, ra nối tiếp – SISO (Serial Input, Serial Output)
Vào song song, ra nối tiếp – PISO (Parallel Input, Serial Output):
Phân theo hƣớng dịch:
Dịch phải, dịch trái, dịch hai hƣớng, dịch vòng
Phân theo đầu vào:
Đầu vào đơn:
Đầu vào đôi:
Phân theo đầu ra:
Đầu ra đơn:
Đầu ra đôi:
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
155
BÀI GIẢNG MÔN :
5.8.2. Bộ ghi dịch-Ứng dụng
ĐIỆN TỬ SỐ
nhớ dữ liệu
chuyển dữ liệu từ song song thành nối tiếp và ngƣợc lại.
để thiết kế bộ đếm
tạo dãy tín hiệu nhị phân tuần hoàn
Một số IC ghi dịch (giáo trình DTS mục 5.9.4)
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
156
BÀI GIẢNG MÔN :
5.8.2. Bộ ghi dịch-Bộ ghi dịch song song
Các số liệu cần ghi đƣa vào D1, D2, D3, D4
ĐIỆN TỬ SỐ
Khi có một xung điều khiển ghi đƣa tới lối vào CLK, dữ liệu
đƣợc nạp vào bộ nhớ song song và cho lối ra song song Q1
Q2 Q3 Q4 = D1 D2 D3 D4.
Vào song song
D1
D2
D
SD Q
F1
> CLK
CD
D3
D
SD
F2
_
Q
> CLK
CD
Q
D4
D
SD Q
F3
_
Q
>CLK
CD
_
Q
D SD
F4
> CLK
Q
Ra nối tiếp
_
Q
CD
Xóa
Ghi
Điều khiển ra
Q1
Q2
Q3
Q4
Ra song song
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
157
BÀI GIẢNG MÔN :
5.8.2. Bộ ghi dịch-Bộ ghi dịch nối tiếp
ĐIỆN TỬ SỐ
Có thể dịch phải, dịch trái và cho ra song song hoặc ra nối
tiếp . Muốn ghi nối tiếp 4 bit cần 4 xung CLK và cho ra ở lối
ra song song.
Còn để lấy số liệu ra nối tiếp cần thêm 3 xung nhịp nữa
Vào nối tiếp
D
SD Q
F1
> CLK
CD
D
SD
F2
_
Q
> CLK
CD
Q
D
SD Q
F3
_
Q
>CLK
CD
_
Q
D SD
F4
> CLK
Q
Ra nối tiếp
_
Q
CD
Xóa
Ghi
Điều khiển ra
Q1
Q2
Q3
Q4
Ra song song
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
158
ĐIỆN TỬ SỐ
BÀI GIẢNG MÔN :
5.8.2. Bộ ghi dịch-Bộ đếm vòng
Q1
D
0100
1000
SD Q
CD
0001
0010
D
F1
> CLK
Q2
SD Q
F2
> CLK
_
Q
Q3
CD
D
SD Q
F3
>CLK
_
Q
Q4
CD
D SD
Q
F4
_
Q
> CLK
_
Q
CD
Xóa
CLK
1110
0111
0011
0000
1001
1000
0100
0001
0010
Q1
0101
1011
D
SD Q
F1
> CLK
1111
0110
1101
CD
1010
Q2
D
SD
F2
_
Q
> CLK
CD
Q
Q3
D
SD Q
F3
_
Q
>CLK
CD
D SD
F4
_
Q
> CLK
CD
Xóa
1100
www.ptit.edu.vn
V1.0
CLK
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
Q4
159
Q
_
Q
ĐIỆN TỬ SỐ
5.8.2. Bộ ghi dịch-Bộ đếm vòng xoắn (mã Johnson)
BÀI GIẢNG MÔN :
là bộ dếm có số bit 1 trong từ mã tăng dần, sau đó lại giảm
dần.
Tƣơng tự có bộ đếm vòng xoắn tự khởi động.
D1 Qn
Q1
D
0000
1000
1100
SD Q
F1
1110
> CLK
CD
0001
0011
0111
1111
Q2
D
SD
F2
_
Q
> CLK
CD
Q
Q3
D
SD Q
F3
_
Q
>CLK
CD
_
Q
Q4
D SD
F4
> CLK
CD
Xóa
CLK
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
160
Q
_
Q
BÀI GIẢNG MÔN :
5.9. Thanh chốt dữ liệu (Latch)
ĐIỆN TỬ SỐ
là mạch logic số đƣợc dùng để lƣu trữ trạng thái số (1 hoặc 0) trong bộ
lƣu trữ dữ liệu.
thƣờng đƣợc sử dụng trong các mạch giao tiếp Bus dữ liệu, các bộ phân
kênh, hợp kênh, và trong các mạch điều khiển
Dn
LE
H
L
X
↑
X
OE
L
L
H
On
H
L
Z
Bảng 5-64b. Bảng chức năng của IC 74374
Dn
LE
H
L
X
X
H
H
L
X
OE
L
L
L
H
On
H
L
Q0
Z
Bảng 5-64a. Bảng chức năng của IC 74373
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
161
BÀI GIẢNG MÔN :
Nội dung
ĐIỆN TỬ SỐ
Chƣơng 1: Hệ đếm
Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm
Chƣơng 3: Cổng logic TTL và CMOS
Chƣơng 4: Mạch logic tổ hợp
Chƣơng 5: Mạch logic tuần tự
• Chƣơng 6: Mạch phát xung và tạo dạng xung
Chƣơng 7: Bộ nhớ bán dẫn.
Chƣơng 8: cấu kiện logic khả trình (PLD)
Chƣơng 9: Ngôn ngữ mô tả phần cứng (VHDL)
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
162
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Headline (Times New Roman Black 36pt.)
CHƢƠNG 6.
MẠCH PHÁT XUNG VÀ TẠO DẠNG
XUNG
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BàiTHUẬT
giảng ĐIỆN
Điện tử
BỘ MÔN: KỸ
TỬ-sốKHOA KTDT1
163
BÀI GIẢNG MÔN :
Nội dung
ĐIỆN TỬ SỐ
Mạch phát xung
Mạch dao động đa hài cơ bản cổng NAND TTL
Mạch dao động đa hài vòng RC
Mạch dao động đa hài thạch anh
Mạch dao động đa hài CMOS
Trigơ Schmit
Mạch đa hài đợi
Mạch đa hài đợi CMOS
Mạch đa hài đợi TTL
IC định thời
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
164
BÀI GIẢNG MÔN :
6.1. Mạch phát xung
ĐIỆN TỬ SỐ
Mạch dao động đa hài cơ bản cổng NAND TTL
Mạch dao động đa hài vòng RC
Mạch dao động đa hài thạch anh
Mạch dao động đa hài CMOS
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
165
ĐIỆN TỬ SỐ
6.1.1. Mạch dao động đa hài cơ bản cổng NAND TTL (1)
BÀI GIẢNG MÔN :
Cổng NAND khi làm việc trong vùng chuyển tiếp có thể k.đại
mạnh tín hiệu đầu vào. 2 cổng NAND đƣợc ghép điện dung
thành mạch vòng thì có bộ dao động đa hài. VK là đầu vào điều
khiển, khi ở mức cao mạch phát xung, và khi ở mức thấp mạch
V
ngừng phát.
V
o2
H
VL
R f1
Vi1
C2
Vo1
Vi2
Vi2
I
II
C1
VK
0
R f2
Vo2
VH - VL + VT
p1=Rf1C2
t
VT
0
Vo1
t
n1=(R 1 // R f2)C 1
VH
VL
Hình 6.1
0
VH - VL + VT
t
p2=Rf2C1
VT
0
t
n2=(R 1 // R f1)C 2
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
166
BÀI GIẢNG MÔN :
6.1.2. Mạch dao động đa hài thạch anh
ĐIỆN TỬ SỐ
Để có các tín hiệu đồng hồ có tần số chính xác và có độ ổn định
cao, các mạch đa hài trình bày trên đây không đáp ứng đƣợc.
Tinh thể thạch anh thƣờng đƣợc sử dụng trong các trƣờng hợp
này. Thạch anh có tính ổn định tần số tốt, hệ số phẩm chất rất
cao dẫn đến tính chọn lọc tần số rất cao.
Tần số của mạch dao động chỉ phụ thuộc vào tinh thể thạch anh
mà không phụ thuộc vào giá trị các tụ điện và điện trở trong
mạch
C2
R1
R2
Vo
VK
f0
www.ptit.edu.vn
V1.0
C1
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
167
BÀI GIẢNG MÔN :
6.1.3. Mạch đa hài đợi
ĐIỆN TỬ SỐ
Độ rộng xung tại đầu ra của mạch đƣợc xác định bằng công thức
sau:
E
TW
R
R0 C ln
D
ED
VT
trong đó R0 là điện trở đầu ra của cổng 1, nếu VT=ED/2 thì:
TW
www.ptit.edu.vn
V1.0
0,7 R
R0 C
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
168
BÀI GIẢNG MÔN :
6.2. Trigơ Schmit
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
ĐIỆN TỬ SỐ
ĐIỆN TỬ SỐ
BÀI GIẢNG MÔN :
6.3. IC định thời (1)
8
4
R
+
-
5
Chân
1
2
3
4
Chức năng
Đất - GND
Chân kích thích
Đầu ra
Xoá - Reset
Chân
5
6
7
8
Chức năng
Điện áp điều khiển
Chân ngƣỡng
Đầu phóng điện
Nguồn – Vcc
6
R
R
2
R
R1
Q1
1
V1.0
S
7
Bảng 6-1. Bảng mô tả chức năng của các chân trong IC
www.ptit.edu.vn
3
+
-
Mạch điện IC 555.
Ground
1
8
VCC
Trigger
2
7
Discharge
Output
3
6
Threshold
Reset
4
5
Control Voltage
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
170
ĐIỆN TỬ SỐ
BÀI GIẢNG MÔN :
6.3.1. Tạo mạch đơn ổn
Khi chân 2 nhận kích thích (nối đất), ta thấy S~ sẽ lập Q
lên 1 và xung sẽ xuất hiện ở lối ra 3. Lúc này, Q~ = 0 nên
Q1 khóa. Tụ C nạp điện. Khi điện thế trên tụ (chân 6) vƣợt
quá 2/3Vcc thì R~ = 0, do đó Q~ = 1. Xung lỗi ra kết thúc,
Q1 thông và tụ C phóng rất nhanh qua Q1. Trạng thái này
giữ nguyên cho tới xung kích thích sau (nên chọn R1 lớn
để không nóng transistor Q1)
8
4
R
+
-
5
6
R
R
2
3
+
-
S
7
R
Độ rộng xung ra đƣợc tính theo công thức: T = 1,1RC
Q1
R1
1
+Vcc
R
Kích
thích
4
8
2/3Vcc
6
555
3
7
Vào
2 1
+
-
www.ptit.edu.vn
V1.0
C
Ra
5
Điện thế trên tụ
C
Xung ra
C1
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
171
ĐIỆN TỬ SỐ
BÀI GIẢNG MÔN :
6.3.2. Tạo mạch dao động đa hài
Chân 2, 6 và tụ C đƣợc nối với nhau, nên điện thế
trên tụ sẽ điều khiển đồng thời cả hai bộ so áp. Nếu
điện thế này vƣợt quá mức ngƣỡng 2/3Vcc, thì xung
trên đầu ra của TG sẽ bị xoá. Ngƣợc lại, khi tụ
phóng xuống dƣới mức 1/3 Vcc thì xung ra lại đƣợc
lập. Quá trình này sẽ tiếp diễn và cho một chuỗi xung
ở lối ra.
8
4
R
+
-
5
6
R
R
2
3
+
-
S
7
R
Chu kì của dao động sẽ là:
Q1
R1
1
T = TN + TP
TN là thời gian nạp và đƣợc tính theo công thức:
TN = 0,7C (R1+ R2)
TP thời gian phóng và bằng:
+Vcc
2/3VCC
R1
8
4
7
TP = 0,7.C.R2
Nhƣ vậy: T = 0,7C (R1+ 2R2)
www.ptit.edu.vn
V1.0
VCC
R2
6
1/3VCC
555
2 1
+
-
C
3
Ra
0
Xung ra
5
C1
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
172
Điện
thế trên
tụ C
ĐIỆN TỬ SỐ
BÀI GIẢNG MÔN :
6.3.3.Tạo mạch dao động – xung vuông
Các biểu thức trên chỉ ra rằng dãy xung ra
chỉ vuông đều khi TN và TP bằng nhau,
nghĩa là R1 = 0. Điều này không thực tế, vì
lúc đó cực C của Q1 nối trực tiếp với Vcc.
Khi Q1 dẫn điện xem nhƣ nguồn Vcc bị
ngắn mạch. Có thể cân bằng TN và TP bằng
các diode phụ nhƣ chỉ ở hình bên.
Tần số dao động của chuỗi xung ra là:
1, 4
f
C R1 2 R2
8
4
R
+
-
5
6
R
R
2
3
+
-
S
7
R
Q1
R1
1
+Vcc
R1
D1
4
8
7
R2
555
3
6
D2
2 1
Với R1 = R2 = R thì (có Diod): f 0, 7
+
-
5
C
C1
CR
Hình 6.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
173
Ra
BÀI GIẢNG MÔN :
Nội dung
ĐIỆN TỬ SỐ
Chƣơng 1: Hệ đếm
Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm
Chƣơng 3: Cổng logic TTL và CMOS
Chƣơng 4: Mạch logic tổ hợp
Chƣơng 5: Mạch logic tuần tự
Chƣơng 6: Mạch phát xung và tạo dạng xung
• Chƣơng 7: Bộ nhớ bán dẫn.
Chƣơng 8: cấu kiện logic khả trình (PLD)
Chƣơng 9: Ngôn ngữ mô tả phần cứng (VHDL)
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
174
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Headline (Times New Roman Black 36pt.)
CHƢƠNG 7.
BỘ NHỚ BÁN DẪN
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
BàiTHUẬT
giảng ĐIỆN
Điện tử
BỘ MÔN: KỸ
TỬ-sốKHOA KTDT1
175
BÀI GIẢNG MÔN :
Nội dung
ĐIỆN TỬ SỐ
Khái niệm chung
DRAM
SRAM
Bộ nhớ cố định – ROM
Bộ nhớ bán cố định
Mở rộng dung lƣợng bộ nhớ
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
176
7.1. Khái niệm chung
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Khái niệm:
Bộ nhớ là một thiết bị có khả năng lƣu trữ thông tin (nhị
phân). Muốn sử dụng bộ nhớ, trƣớc tiên ta phải ghi dữ liệu
và các thông tin cần thiết vào nó, sau đó lúc cần thiết phải lấy
dữ liệu đã ghi trƣớc đó để sử dụng. Thủ tục ghi vào và đọc ra
phải đƣợc kiểm soát chặt chẽ, tránh nhầm lẫn nhờ định vị
chính xác từng vị trí ô nhớ và nội dung của nó theo một mã
địa chỉ duy nhất.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
177
7.1. Khái niệm chung
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Những đặc trƣng chính của bộ nhớ
Dung lƣợng của bộ nhớ.
Dung lƣợng bộ nhớ là số bit thông tin tối đa có thể lƣu giữ trong nó.
Dung lƣợng cũng có thể biểu thị bằng số từ nhớ n bit. Từ nhớ n bit
là số bit (n) thông tin mà ta có thể đọc hoặc ghi đồng thời vào bộ
nhớ.
Cách truy cập thông tin: Có 2 cách là trực tiếp và gián tiếp
Truy cập trực tiếp, hay còn gọi là truy cập ngẫu nhiên (random
access). Ở cách này, không gian bộ nhớ đƣợc chia thành nhiều ô nhớ.
Mỗi ô nhớ chứa đƣợc 1 từ nhớ n bit và có một địa chỉ xác định, mã
hoá bằng số nhị phân k bit. Mỗi bộ nhớ có k bit địa chỉ sẽ có 2k ô
nhớ và có thể ghi đƣợc 2k từ nhớ n bit.
Truy cập tuần tự (serial access) hay còn gọi là kiểu truy cập tuần tự.
Các đĩa từ, băng từ, trống từ, thanh ghi dịch…có kiểu truy cập này.
Các bit thông tin đƣợc đƣa vào và lấy ra một cách tuần tự.
Tốc độ truy cập thông tin.
Đây là thông số rất quan trọng của bộ nhớ. Nó đƣợc đặc trƣng bởi
thời gian cần thiết để truy cập thông tin.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
178
BÀI GIẢNG MÔN :
7.1. Khái niệm chung – Phân loại bộ nhớ
ĐIỆN TỬ SỐ
BỘ NHỚ BÁN DẪN
Bộ nhớ cố định ROM
MROM
PROM
Bộ nhớ bán cố định
EPROM
EEPROM
Bộ nhớ đọc/viết
SRAM
DRAM
Dựa trên thời gian viết và cách viết, có thể chia thành: bộ nhớ cố định, bộ nhớ
bán cố định và bộ nhớ đọc/viết đƣợc.
Bộ nhớ cố định ROM (Read Only Memory): có nội dung đƣợc viết sẵn một lần.
MROM: là loại ROM sau khi đã đƣợc viết (bằng mặt nạ-mask) từ nhà máy thì không viết
lại đƣợc nữa.
PROM là một dạng khác, các bit có thể đƣợc viết bằng thiết bị ghi của ngƣời sử dụng
trong một lần (Programmable ROM).
Bộ nhớ có thể đọc/ viết nhiều lần RAM (Random Access Memory) gồm hai loại:
RAM tĩnh-SRAM (Static RAM) thƣờng đƣợc xây dựng trên các mạch điện tử trigơ.
RAM động-DRAM (Dynamic RAM) đƣợc xây dựng trên cơ sở nhớ các điện tích ở tụ
điện;
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
179
BÀI GIẢNG MÔN :
7.1. Khái niệm chung – Phân loại bộ nhớ
ĐIỆN TỬ SỐ
Giữa ROM và RAM có một lớp các bộ nhớ đƣợc gọi là EPROM
(Erasable PROM), dữ liệu trong đó có thể xoá đƣợc bằng tia cực
tím và ghi lại đƣợc, EEPROM (Electric EPROM) có thể xoá
đƣợc bằng dòng điện. Các loại này còn đƣợc gọi là bộ nhớ bán
cố định.
Các bộ nhớ DRAM thƣờng thoả mãn những yêu cầu khi cần bộ
nhớ có dung lƣợng lớn; trong khi đó khi cần có tốc độ truy xuất
lớn thì phải dùng các bộ nhớ SRAM có giá thành đắt hơn.
Nhƣng cả hai loại này đều có nhƣợc điểm là thuộc loại “bay
hơi” (volatile), thông tin sẽ bị mất đi khi nguồn nuôi bị ngắt. Do
vậy các chƣơng trình dùng cho việc khởi động PC nhƣ BIOS
thƣờng phải nạp trên các bộ nhớ ROM.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
180
BÀI GIẢNG MÔN :
7.1. Khái niệm chung – Tổ chức của bộ nhớ
ĐIỆN TỬ SỐ
Bộ nhớ thƣờng đƣợc tổ chức gồm nhiều vi mạch nhớ đƣợc
ghép lại để có độ dài từ và tổng số từ cần thiết. Những chip
nhớ đƣợc thiết kế sao cho có đầy đủ một số chức năng của
bộ nhớ nhƣ:
Một ma trận nhớ gồm các ô nhớ, mỗi ô nhớ ứng với một bit
nhớ.
Mạch logic giải mã địa chỉ ô nhớ.
Mạch logic cho phép đọc nội dung ô nhớ.
Mạch logic cho phép viết nội dung ô nhớ.
Các bộ đệm vào, bộ đệm ra và bộ mở rộng địa chỉ.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
181
BÀI GIẢNG MÔN :
7.2. Cấu trúc cơ bản của bộ nhớ ROM
ĐIỆN TỬ SỐ
ROM bao gồm 4 khối cơ bản:
+ Bộ nhớ chứa các ô nhớ và trong các ô nhớ là các từ nhớ.
+ Mạch điều khiển tiếp nhận các tín hiệu vào từ kênh điều khiển.
+ Bộ giải mã địa chỉ dùng để định vị ô nhớ.
+ Mạch ra dùng để đƣa nội dung ô nhớ tới các thiết bị có liên quan cần tiếp nhận
nội dung này.
ROM
Kênh
địa chỉ
A9
A0
Kênh CE1
điều CE2
khiển CE3
Khối nhớ
Bộ giải mã địa
chỉ
Ô nhớ được định vị
Bộ điều khiển
Mạch ra
D7
D0
Kênh
dữ liệu
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
182
BÀI GIẢNG MÔN :
7.2. Cấu trúc cơ bản của bộ nhớ ROM
ĐIỆN TỬ SỐ
Mỗi ô nhớ nhị phân có chức năng lƣu giữ một trong hai trạng thái 0 hoặc 1.
Mở rộng bộ nhớ
Bus điều khiển
Bus dữ liệu
Bus địa chỉ
A9
CE1 CE2
ROM 1
1k x 8
CE1 CE2
ROM 2
1k x 8
A0
D7
D0
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
183
BÀI GIẢNG MÔN :
7.2.1. Bộ giải mã địa chỉ
ĐIỆN TỬ SỐ
Bộ giải mã địa chỉ là giao diện giữa kênh địa chỉ và khối nhớ.
Nó có khả năng truyền rất nhiều địa chỉ trên một số ít đƣờng truyền.
Địa chỉ nhị phân phải đƣợc giải mã trƣớc khi tác động tới mảng ô nhớ.
1
33
32
31
30
23
22
21
20
13
12
11
10
03
02
01
00
0
A3 A2
Cho phép đọc
www.ptit.edu.vn
V1.0
A1
A0
0
1
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
184
BÀI GIẢNG MÔN :
7.2.2. Mạch ra của bộ nhớ
ĐIỆN TỬ SỐ
Mạch ra có nhiệm vụ kết nối dữ liệu đã chọn với kênh dữ liệu vào lúc thích hợp.
Mảng bộ nhớ
Từ bộ
điều khiển
CE
D3
D2
D1
D0
www.ptit.edu.vn
V1.0
Bus dữ liệu
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
185
BÀI GIẢNG MÔN :
7.2.3. Mạch điều khiển
ĐIỆN TỬ SỐ
Mạch điều khiển trong ROM có chức năng khá đơn giản.
ROM
Kênh
địa chỉ
A9
A0
Kênh CE1
điều CE2
khiển CE3
Khối nhớ
Bộ giải mã địa
chỉ
Ô nhớ được định vị
Bộ điều khiển
Mạch ra
D7
D0
Kênh
dữ liệu
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
186
BÀI GIẢNG MÔN :
7.2.4. Bộ nhớ cố định – MROM
Các chip RAM không thích hợp cho các chương trình khởi
động do các thông tin trên đó bị mất khi tắt nguồn. Do vậy
phải dùng đến ROM, trong đó các số liệu cần lưu trữ được
viết một lần theo cách không bay hơi để nhằm giữ được
mãi.
MROM – ROM lập trình theo kiểu mặt nạ
ĐIỆN TỬ SỐ
+V
+5V
R4
R3
R2
R1
1
0
Các dây
hàng (i hàng)
Các dây bit (j cột)
Hình 7-6. MROM diode đơn giản
Đƣợc chế tạo trên một phiến silic theo một số bƣớc xử lý nhƣ quang khắc và
khếch tán để tạo ra những tiếp giáp bán dẫn có tính dẫn điện theo một chiều (nhƣ
diode, transistor trƣờng). Ngƣời thiết kế định rõ chƣơng trình muốn ghi vào
ROM, thông tin này đƣợc sử dụng để điều khiển quá trình làm mặt nạ. Hình 7-6
là một ví dụ đơn giản về sơ đồ MROM dùng diode.
Chỗ giao nhau giữa các dây từ (hàng) và các dây bit (cột) tạo nên một phần tử
nhớ (ô nhớ). Một diode đƣợc đặt tại đó (hình vẽ) sẽ cho phép lƣu trữ số liệu “0”.
Ngƣợc lại những vị trí không có diode thì sẽ cho phép lƣu trữ số liệu “1”. Khi đọc
một từ số liệu thứ i của ROM, bộ giải mã sẽ đặt dây từ đó xuống mức logic thấp,
các dây còn lại ở mức cao. Do vậy chỉ những diode nối với dây này đƣợc phân
cực thuận, do đó nó sẽ dẫn làm cho điện thế lối ra trên các dây bit tƣơng ứng ở
mức logic thấp, các dây bit còn lại sẽ giữ ở mức cao.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
187
7.2.5. Bộ nhớ cố định – PROM
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
PROM cũng gồm có các diode nhƣ ở MROM nhƣng chúng có
mặt đầy đủ tạo các vị trí giao nhau giữa dây từ và dây bit. Mỗi
diode đƣợc nối với một cầu chì.
Bình thƣờng khi chƣa lập trình, các cầu chì còn nguyên vẹn, nội
dung của PROM sẽ toàn là 0. Khi định vị đến một bit bằng cách
đặt một xung điện ở lối ra tƣơng ứng, cầu chì sẽ bị đứt và bit này
sẽ bằng 1. Bằng cách đó ta có thể lập trình toàn bộ các bit trong
PROM.
Nhƣ vậy, việc lập trình đó có thể đƣợc thực hiện bởi ngƣời sử
dụng chỉ một lần duy nhất, không thể sửa đổi đƣợc.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
188
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
7.2.5. PROM
Hình 7-11. PROM dùng diode
+5V
R4
R3
R2
R1
WE0
Các
dây
từ
(i)
WE1
WE2
WE3
D3
D2
D1
D0
Các dây bit (j)
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
189
ĐIỆN TỬ SỐ
7.2.5.Bộ nhớ bán cố định - EPROM (Erasable PROM)
BÀI GIẢNG MÔN :
Hình 7- 7 chỉ ra cấu trúc của một transistor dùng để làm một ô nhớ gọi là
FAMOST (Floating gate avalanche injection MOS transistor).
Trong ô nhớ dùng transistor này, cực cửa đƣợc nối với đƣờng từ, cực máng
đƣợc nối với đƣờng bit và cực nguồn đƣợc nối với nguồn chuẩn đƣợc coi là
nguồn cho mức logic 1. Khác với transistor MOS bình thƣờng, transistor loại
này còn có thêm một cửa gọi là cửa nổi (floating gate); đó là một vùng vật
liệu đƣợc thêm vào vào giữa lớp cách điện cao nhƣ ở hình 7-7. Nếu cửa nổi
không có điện tích thì nó không ảnh hƣởng gì đến cực cửa điều khiển và
transistor hoạt động nhƣ bình thƣờng.
Nguồn
Máng
Cửa
hv
hv
ID
“0”
“1”
Xoá
Cửa điều khiển
Cửa nổi
Lớp ôxit
n- Nguồn
- - - - -
Lớp ôxit
Lập trình
n- Máng
Đế bán dẫn
loại p
v0
v1
vGS
Hình 7-7. Cấu trúc của một EPROM
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
190
BÀI GIẢNG MÔN :
7.2.6. Bộ nhớ bán cố định – EEPROM
ĐIỆN TỬ SỐ
Cửa sổ thạch anh có giá thành khá đắt và không tiện lợi nên
những năm gần đây xuất hiện các chip PROM có thể xoá số liệu
bằng phƣơng pháp điện. Cấu trúc của ô nhớ giống nhƣ hình 7-8.
Nguồn
Máng
Cửa
Cửa điều khiển
Cửa nổi
Lớp
Lớpôxit
ôxit
n- Nguồn
-
- - - - -
- - Lớp ôxit
n- Máng
Đường hầm ôxít
Đế bán dẫn loại p
Hình 7-8. Cấu trúc của một EEPROM
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
191
7.3. RAM
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
RAM có khả năng cho phép ghi lƣu trữ dữ liệu thông tin
tam thời trong một thời gian, sau đó lại đọc thông tin đó để
tiếp tục xử lý khi cần thiết nên nó có tên là bộ nhớ đọc/viết.
Một đặc tính quan trọng khác của RAM là các dữ liệu trong
RAM chỉ có tính chất tạm thời, dễ bị xóa khi mất nguồn
năng lƣợng cấp
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
192
BÀI GIẢNG MÔN :
7.3.1. Cấu trúc khối của RAM
ĐIỆN TỬ SỐ
RAM cũng có 4 phần chính nhƣ mô tả trên hình 7-17. Điểm
khác biệt là:
+ Mạch điều khiển của RAM phải có thêm đầu vào R/W điều
khiển hai quá trình cơ bản trong thao tác của RAM: ghi dữ
liệu thông tin vào nó và quá trình xuất (đọc) thông tin đã ghi.
+ Mạch đầu ra có khả năng kiểm soát hai chiều trƣớc khi cho
phép giao tiếp với kênh dữ liệu. Quá trình này tuân theo
nguyên tắc: (đồng bộ với việc điều khiển R/W) khi bộ nhớ
đang đọc thì không đƣợc ghi và ngƣợc lại; trạng thái thứ ba
có thể chờ quyết định.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
193
BÀI GIẢNG MÔN :
7.3.1. Cấu trúc RAM
ĐIỆN TỬ SỐ
Cấu trúc 4 khối của một RAM có 8 bit dữ liệu và 8 bit địa
chỉ
RAM
Kênh
địa chỉ
A9
A0
Khối nhớ
Bộ giải mã địa
chỉ
Địa chỉ được chọn R/W
CE
Di
Kênh CE1
điều CE2
khiển R/W
Bộ điều khiển
RE/WE
Mạch vào/ra
D7
D0
Bus vào/ra dữ liệu
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
194
BÀI GIẢNG MÔN :
7.3.2. Mạch vào ra
ĐIỆN TỬ SỐ
Mảng bộ nhớ
G’1
G’0
RE
G0
G1
G’2
G2
G’3
G3
Bus dữ liệu
WE
www.ptit.edu.vn
V1.0
D3
D2
D1
D0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
195
BÀI GIẢNG MÔN :
7.3.3. Mạch điều khiển
ĐIỆN TỬ SỐ
+ Khi ở chế độ đọc, xung R/W ở mức logic 1. Đồng thời các tín hiệu cho phép
chọn CE1, CE2 đƣợc kích hoạt ở mức 1 nên lúc này RE = 1, tức là chế độ đọc đƣợc
thiết lập. Khi đó tín hiệu = 0 nên tín hiệu cho phép ghi WE = 0 (cấm ghi).
+ Khi ở chế độ ghi, xung R/W ở mức logic 0, = 1, đồng thời các tín hiệu cho phép
chọn CE1, CE2 đƣợc kích hoạt ở mức 1 nên lúc này WE = 1, tức là nó ở chế độ
ghi. Khi đó tín hiệu R/W = 0 nên tín hiệu cho phép đọc RE = 0 (cấm đọc).
R/W
RE (cho phép đọc)
CE1
CE2
WE (cho phép ghi)
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
196
ĐIỆN TỬ SỐ
BÀI GIẢNG MÔN :
7.3.4. DRAM
Tụ điện
Transistor
Cửa
Điện cực
Lớp
ôxit
n- Nguồn
n- Máng
Lớp
ôxit
Tra
Vùng lưu giữ
C
điện tích
Đế bán dẫn loại p
WL
BL
BL
Các ô nhớ đƣợc xắp xếp theo hàng và cột trong một ma trận nhớ. Địa chỉ ô
nhớ đƣợc chia thành hai phần: địa chỉ hàng và cột. Hai địa chỉ này đƣợc đọc
vào bộ đệm một cách lần lƣợt. Xử lý kiểu này đƣợc gọi là hợp kênh, lý do là
để giảm kích thƣớc bộ giải mã, tức là giảm kích thƣớc và giá thành vi mạch.
Quá trình dồn kênh địa chỉ này đƣợc điều khiển bởi các tín hiệu RAS (Row
Access Strobe) và CAS (Column Access Strobe).
Nếu RAS ở mức tích cực thấp thì DRAM nhận đƣợc địa chỉ đặt vào nó và sử
dụng nhƣ địa chỉ hàng.
Nếu CAS ở mức tích cực thấp thì DRAM nhận đƣợc địa chỉ đặt vào nó và sử
dụng nhƣ địa chỉ cột.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
197
BÀI GIẢNG MÔN :
7.3.5. SRAM
ĐIỆN TỬ SỐ
VCC
Tra
Tra
Tra
C
WL
Trs Trs
WL
BL
BL
BL
BL
Một ô nhớ của SRAM giữ thông tin bởi trạng thái của mạch trigơ. Thuật ngữ
“tĩnh” chỉ ra rằng khi nguồn nuôi chƣa bị cắt thì thông tin của ô nhớ vẫn đƣợc
giữ nguyên. Khác với ô nhớ DRAM, ở đây ô nhớ trigơ cung cấp một tín hiệu số
mạnh hơn nhiều vì đã có các transistor trong các ô nhớ, chúng có khả năng
khuếch đại tín hiệu và do đó có thể cấp trực tiếp cho các đƣờng bit. Trong
DRAM, sự khuếch đại tín hiệu trong các bộ khuếch đại cần nhiều thời gian và
do đó thời gian truy nhập dài hơn. Khi định địa chỉ trong các trigơ ở SRAM,
các transistor bổ sung cho các trigơ, các bộ giải mã địa chỉ…cũng đƣợc đòi hỏi
nhƣ ở DRAM.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
198
7.4. Bộ nhớ FLASH
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Cấu trúc của chúng cơ bản nhƣ EEPROM, chỉ có lớp kênh ôxit ở các
ô nhớ mỏng hơn. Bộ nhớ flash có thể hoạt động gần mềm dẻo nhƣ
DRAM và SRAM nhƣng lại không bị mất số liệu khi bị cắt điện.
Phần chính là mạng nhớ bao gồm các ô nhớ FAMOST nhƣ đƣợc mô
tả ở mục trên. Giống nhƣ SRAM, bộ nhớ flash không dồn phân kênh
địa chỉ. Các bộ giải mã hàng và cột chọn một đƣờng từ và một hoặc
nhiều cặp đƣờng bit. Số liệu đọc đƣợc đƣa ra ngoài bộ đệm số liệu
I/O hoặc đƣợc viết vào ô nhớ đã đƣợc định địa chỉ bởi bộ đệm này
qua cổng I/O.
Một chip nhớ flash 1 Mb có thể đƣợc lập trình trong khoảng 2 sec,
nhƣng khác với EEPROM việc xoá đƣợc thực hiện từng chip một.
Thời gian xoá cho toàn bộ bộ nhớ flash khoảng 1 sec. Xử lý đọc, lập
trình và xoá đƣợc điều khiển bởi các lệnh có độ dài 2 byte đƣợc bộ
xử lý viết vào các thanh ghi lệnh của mạch điều khiển flash.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
199
BÀI GIẢNG MÔN :
7.4. Bộ nhớ FLASH
ĐIỆN TỬ SỐ
VPP
Chuyển mạch điện thế
xoá
Điều khiển
WE
CE
OE
Thanh ghi lệnh
Chuyển mạch điện thế
chương trình
Bộ định thời
Giải
mã
hàng
Địa
chỉ
Đệm
địa
chỉ
Ma trận tế bào nhớ
Giải
mã
cột
Dữ liệu vào
Cửa vào ra
Đệm vào ra dữ liệu
Hình 7-9. Sơ đồ bộ nhớ FLASH
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
200
BÀI GIẢNG MÔN :
7.5. Bộ nhớ CACHE
ĐIỆN TỬ SỐ
Giữa CPU và bộ nhớ chính bằng DRAM, ngƣời ta xen vào một bộ
nhớ SRAM nhanh có dung lƣợng nhỏ bằng 1/10 hoặc 1/100 lần bộ
nhớ chính gọi là cache; dƣới sự điều khiển của mạch điều khiển
cache, bộ nhớ này sẽ lƣu trữ tạm thời các số liệu thƣờng đƣợc gọi và
cung cấp nó cho CPU trong thời gian ngắn.
Cache chứa các thông tin mới vừa đƣợc CPU sử dụng gần đây nhất.
Khi CPU đọc số liệu nó sẽ đƣa ra một địa chỉ tới bộ điều khiển
cache. Sau đó một trong hai quá trình sau sẽ xảy ra:
SRAM Cache
DRAM trong bộ
nhớ chính
CPU
Bộ điều khiển CACHE
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
201
BÀI GIẢNG MÔN :
7.6. Mở rộng dung lƣợng bộ nhớ
ĐIỆN TỬ SỐ
Các vi mạch nhớ bán dẫn chỉ có dung lƣợng xác định. Muốn
có bộ nhớ có dung lƣợng lớn hơn, ta tìm cách ghép nhiều vi
mạch nhớ nhằm một trong ba mục đích sau:
Tăng độ dài nhớ, nhƣng không làm tăng số lƣợng từ nhớ.
Tăng số lƣợng từ nhớ nhƣng không làm tăng độ dài từ nhớ.
Tăng cả số lƣợng và độ dài từ nhớ.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
202
7.6.1. Mở rộng độ dài từ
Trên một chíp nhớ, có thể
có đƣợc 1 đến một số hữu
hạn lối ra, thƣờng là 4
hoặc 8 bit. Muốn có độ
dài từ lớn hơn, chẳng hạn
từ 4 lên 8 hoặc 16 bit, ta
tiến hành ghép nhiều chíp
nhớ nhƣ chỉ ở hình 7-10
đối với RAM. Đối với
ROM cách làm cũng
tƣơng tự, chỉ khác trong
trƣờng hợp này, có thể
không có lối vào R/W.
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
A0
BUS địa chỉ
An-1
RAM
I
RAM
II
D0
BUS dữ liệu
Dn-1
BUS dữ liệu
Hình 7-10. Sơ đồ mở rộng độ dài từ.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
203
7.6.2. Mở rộng dung lƣợng (1)
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Muốn mở rộng dung lƣợng, ta cũng ghép nhiều chíp lại với nhau. Nhƣ
đã biết, dung lƣợng có liên quan đến số lối vào địa chỉ (C = 2N x độ dài
từ, với N là số lối vào địa chỉ). Cứ tăng 1 chíp thì cần có thêm một lối vào
địa chỉ.
Khi mở rộng dung lƣợng các lối vào/ra dữ liệu D và R/ đƣợc nối song
song. Một phần dung lƣợng đƣợc trữ vào mỗi chíp. Sự phân chia này
dựa trên cơ sở tổ hợp địa chỉ vào và lối vào điều khiển. Hình 7-11 là một
sơ đồ ví dụ.
A0
A0
IC 1
A11
A12
A13
A11
2k
A0
IC 2
A11
2k
A0
IC 3
A0
IC 4
A11
A11
2k
2k
Bộ giải
mã vào
2 ra 4
Hình 7-11. Phƣơng pháp mở rộng dung lƣợng.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
204
BÀI GIẢNG MÔN :
7.6.2. Mở rộng dung lƣợng (2)
A0
A11
A12
A13
A0
IC 1
A0
IC 2
A0
IC 3
A0
IC 4
A11
A11
A11
A11
2k
2k
2k
ĐIỆN TỬ SỐ
2k
Bộ giải
mã vào
2 ra 4
Hình 7-11. Phƣơng pháp mở rộng dung lƣợng.
www.ptit.edu.vn
V1.0
A13
A12
_CS
IC mở
Khoảng địa chỉ
0
0
_CS1
IC I
000016 - 0FFF16
0
1
_CS2
IC II
100016 - 1FFF16
1
0
_CS3
IC III
200016 - 2FFF16
1
1
_CS4
IC IV
300016 - 3FFF16
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
205
BÀI GIẢNG MÔN :
Nội dung
ĐIỆN TỬ SỐ
Chƣơng 1: Hệ đếm
Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm
Chƣơng 3: Cổng logic TTL và CMOS
Chƣơng 4: Mạch logic tổ hợp
Chƣơng 5: Mạch logic tuần tự
Chƣơng 6: Mạch phát xung và tạo dạng xung
Chƣơng 7: Bộ nhớ bán dẫn.
• Chƣơng 8: Cấu kiện logic khả trình (PLD)
Chƣơng 9: Ngôn ngữ mô tả phần cứng (VHDL)
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
206
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
CHƢƠNG 8.
CẤU KIỆN LOGIC KHẢ TRÌNH
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
207
8.1 GIỚI THIỆU VỀ CÔNG NGHỆ LOGIC SỐ
ĐIỆN TỬ SỐ
BÀI GIẢNG MÔN :
Công nghệ
Logic số
(Digital Logic)
TTL
74xx
Programmable
Logic (PLD)
CMOS
4xxx
SPLD
FPGA
ASICs
CPLD
Gate
Arrays
Full Custom
VLSI Design
Standard
Cell
MicroProcessor
/RAM…
Standard
Logic
Hình 8.1 - Phân loại công nghệ logic số.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
208
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
8.2 CẤU KIỆN LOGIC KHẢ TRÌNH (PLD)
8.2.1 SPLD
Đầu vào
Đầu ra
Điểm kết nối
khả trình
Hình 8.3 – Kiến trúc PAL
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
209
ĐIỆN TỬ SỐ
BÀI GIẢNG MÔN :
8.2.1 SPLD -PAL
X 0 .X1.X 2
X 0 .X1
Y0
Y1
X0
X1
X2
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
210
BÀI GIẢNG MÔN :
8.2.1 SPLD-PLA
ĐIỆN TỬ SỐ
Đầu vào
Điểm kết nối
khả trình
Điểm kết nối
khả trình
Đầu ra
Hình 8.4 – Kiến trúc PLA
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
211
ĐIỆN TỬ SỐ
BÀI GIẢNG MÔN :
8.2.1 SPLD-PLA
Cầu chì còn
Cầu chì đứt
X0
X1
X2
Y0
Y1
Y2
Y3
Y0 X 2 .X1.X 0 X 2 .X1.X0 X 2 .X1.X0
Y1 X 2 .X1.X 0 X 2 .X1.X0 X 2 .X1.X0
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
212
8.2.2 CPLD (Complex PLD)
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Hình 8.5 – Kiến trúc chung của CPLD
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
213
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
8.2.3 FPGA
Logic
Block
Logic
Block
Logic
Block
Logic
Block
Logic
Block
Logic
Block
Logic
Block
Logic
Block
Logic
Block
Logic
Block
Logic
Block
Logic
Block
Logic
Block
Logic
Block
Logic
Block
Logic
Block
IO blocks
Interconnects
Hình 8.6 - Kiến trúc chung của FPGA
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
214
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
8.3 GIỚI THIỆU PHƢƠNG PHÁP THIẾT LẬP CẤU HÌNH CHO CPLD/FPGA
8.3.1. Phương pháp dùng sơ đồ mô tả
Bước 1: Chọn thƣ viện cấu kiện và công cụ mô tả thiết kế. Sau đó, chọn các
cổng cần cho thiết kế từ thƣ viện, có thể kết hợp tuỳ ý các cổng với nhau. Ở
bƣớc này, phải lựa chọn họ cấu kiện sẽ sử dụng, nhƣng chƣa phải quyết định sử
dụng cấu kiện cụ thể nào trong họ để đáp ứng các yêu cầu về tốc độ và kích
thƣớc.
Bước 2: Thực hiện kết nối các cổng với nhau, sử dụng lƣới hoặc dây nối. Ngƣời
thiết kế có thể điều chỉnh kết nối giữa các cổng tuỳ ý theo mục đích thiết kế.
Bước 3: Gắn thêm và phân bố các bộ đệm đầu vào và đầu ra. Các bộ đệm này
sẽ xác định các chân I/O cho thiết bị.
Bước 4: Bƣớc cuối là tạo ra netlist. Netlist là file mô tả mạch số dƣới dạng text,
đƣợc tạo bởi công cụ thiết kế. Bản mô tả thiết kế giúp các chƣơng trình khác
nắm đƣợc các cổng logic có trong mạch, cách kết nối các cổng đó, và số các
chân I/O. Chuẩn để viết file netlist phổ biến nhất là dạng EDIF (Electronic
Digital Interchange Format).
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
215
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
8.3 GIỚI THIỆU PHƢƠNG PHÁP THIẾT LẬP CẤU HÌNH CHO CPLD/FPGA
8.3.2. Phƣơng pháp dùng ngôn ngữ mô tả phần cứng (HDL)
Bước 1: Dùng ngôn ngữ mô tả phần cứng (HDL) để mô tả các tính
năng và hoạt động của từng phần trong hệ thống. Đồng thời có thể
dùng ngôn ngữ mô tả phần cứng HDL mô tả kết nối giữa các phần
trong một hệ thống. Đầu ra của quá trình này là một file ở dạng
text.
Bước 2: Dùng công cụ synthesis (tổng hợp) để tạo ra file netlist từ
file ở trên. Công cụ synthesis xác định các cổng đƣợc sử dụng dựa
trên mô hình hoạt động (trong phƣơng pháp thiết kế truyền thống,
ngƣời thiết kế phải thực hiện thao tác này). Vì netlist đặc trƣng cho
họ thiết bị và nhà sản xuất, nên phải sử dụng thƣ viện của nhà sản
xuất tƣơng ứng. Hầu hết các công cụ thiết kế đều cung cấp tên các
nhà sản xuất mảng cổng, FPGA và CPLD.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
216
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
8.4 LƢU ĐỒ THIẾT KẾ CHO CPLD/FPGA
8.4.1 Lưu đồ thiết kế cho CPLD
Design Entry
Schematic
ECS
HDL
Verilog/VHDLL
State Machines
StateCad
Design Verification
Functional Simulation
(ISE Simulator, ModelSim)
Design Synthesis
Xilinx Synthesis Tool
(XST)
Design Implementation
Translate
Map
Place and
Route
Timing Simulation
Static Timing Analysis (ECS)
ModelSim XE
Configuration
Download (iMPACTE)
Hình 8.7- Lưu đồ thiết kế CPLD
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
217
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
8.4 LƢU ĐỒ THIẾT KẾ CHO CPLD/FPGA
8.4.2 Lưu đồ thiết kế cho FPGA
Configuration
Create Bit file
Download (iMPACTE)
Hình 8.9 - Lưu đồ thiết kế FPGA
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
218
BÀI GIẢNG MÔN :
Nội dung
ĐIỆN TỬ SỐ
Chƣơng 1: Hệ đếm
Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm
Chƣơng 3: Cổng logic TTL và CMOS
Chƣơng 4: Mạch logic tổ hợp
Chƣơng 5: Mạch logic tuần tự
Chƣơng 6: Mạch phát xung và tạo dạng xung
Chƣơng 7: Bộ nhớ bán dẫn.
Chƣơng 8: Cấu kiện logic khả trình (PLD)
Chƣơng 9: Ngôn ngữ mô tả phần cứng (VHDL)
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
219
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
CHƢƠNG 9.
NGÔN NGỮ MÔ TẢ PHẦN CỨNGVHDL
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
220
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
9.1 CẤU TRÚC NGÔN NGỮ CỦA VHDL
Cấu trúc ngôn ngữ cơ bản của VHDL gồm:
Đối tƣợng: Quy định các dạng tín hiệu cố định, tín hiệu, cổng vào - ra, hay tín
hiệu đệm …
Các kiểu dữ liệu: Quy định các kiểu dữ liệu có thể đƣợc dùng để gán cho mỗi
đối tƣợng.
Các phép toán: Quy định các phép toán sử dụng cho mỗi loại dữ liệu.
Các đơn vị thiết kế: Các thành phần cơ bản cấu trúc lên một chƣơng trình mã
mô tả dùng VHDL.
Các cấu trúc lệnh tuần tự: Cấu trúc câu lệnh thực hiện theo tiến trình tuần tự,
thƣờng dùng mô tả các cấu trúc mạch tuần tự của mạch số.
Các cấu trúc lệnh song song: Cấu trúc câu lệnh thực hiện song song, thƣờng
dùng mô tả các cấu trúc mạch tổ hợp.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
221
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
9.1.1 Đối tƣợng trong VHDL
Trong ngôn ngữ VHDL gồm có 4 đối tƣợng là: tín hiệu - signal,
biến - variable, hằng - constant, tham số chung – generic.
Signal tên_tín_hiệu {,tên_tín_hiệu}:kiểu_dữ_liệu
[:=giá_trị_khởi_tạo];
Ví dụ: Signal a,b,c: Bit:=‘1‘; -- Giá trị khởi tạo là ‗1‘;
Signal y, reg: std_logic_vector(3 downto 0):=‖0000‖;
variable tên_biến {,tên_biến}: kiểu_dữ_liệu
[:=giá_trị_khởi_tạo];
Ví dụ: variable x : Bit:=‘1‘;
variable Q: std_logic_vector(3 downto 0);
constant tên_hằng {,tên_hằng}: kiểu_dữ_liệu :=giá_trị_khởi_tạo;
Ví dụ: constant GND : std_logic:=‘0‘;
constant PI: real:=3.1414;
constant datamemory : memory := (('0','0','0','0'),
('0','0','0','1'),
('0','0','1','1'));
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
222
9.1.1 Đối tƣợng trong VHDL
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Tóm lại: Các đối tƣợng trong VHDL có mục đích sử dụng,
phạm vi sử dụng khác nhau, nhƣng chúng có cú pháp khai báo
chung nhƣ sau:
Đối_tƣợng tên_đối_tƣợng : kiểu_dữ_liệu {:=giá_trị_khởi_tạo}
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
223
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
9.1.2 Kiểu dữ liệu trong VHDL
Vô hƣớng : Bit, boolean, integer, real, physical, character, std_logic và
std_ulogic, enumerated (kiểu liệt kê)...
Kiểu ghép: Mảng, bảng ghi (record). Bit_logic_vector,
std_logic_vector và String đều là những dạng dữ liệu ghép đã đƣợc
định nghĩa sẵn.
Mảng hai chiều (2-D Arrays): các dữ liệu có dạng mảng 2 chiều, đƣợc
tạo nên từ 1 mảng của một mảng 1 chiều ( hay một bản ghi).
Kiểu dữ liệu con (Subtypes): tập dữ liệu con của một dữ liệu đã có sẵn,
đƣợc ngƣời dùng tự định nghĩa dựa trên những dạng có sẵn.
Các kiểu dữ liệu đã đƣợc định nghĩa trong gói dữ liệu chuẩn trong thƣ
viện chuẩn Standard Library của VHDL là: bit, boolean, integer, real,
physical, character, std_logic and std_ulogic, Bit_logic_vector,
std_logic_vector, và String và một số kiểu dữ liệu con.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
224
9.1.2 Kiểu dữ liệu trong VHDL
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Cú pháp chung định nghĩa kiểu dữ liệu trong VHDL nhƣ
sau:
Type Tên_kiểu is giới_hạn_giá_trị_của_kiểu
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
225
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
9.1.3 Các phép toán trong VHDL
a. Toán tử logic
Toán tử logic gồm có: and, or, nand, nor, xor, not, xnor
đƣợc sử dụng cho các dạng dữ liệu là bit, boolean,
bit_vector, std_logic_vector
b. Toán tử quan hệ
Toán tử quan hệ đƣợc sử dụng cho hầu hết các dạng dữ
liệu, tất cả các toán tử quan hệ đều cho giá trị trả về dƣới
dạng boolean.
Toán tử quan hệ gồm có: =, /=, <, <=, >, >=.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
226
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
9.1.3 Các phép toán trong VHDL
c. Toán tử số học
Toán tử số học đƣợc sử dụng cho kiểu dữ liệu Integer, Real,
Signed, Unsigned, các dạng dữ liệu vật lý, Std_logic,
Std_logic_vector, Bit, Bit_vector. Cần chú ý rằng không phải
tất cả toán tử số học đều có thể sử dụng cho kiểu dữ liệu
mảng.
Các toán tử số học là: +, -, *, /, abs (trị tuyệt đối), ** (hàm
mũ).
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
227
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
9.1.3 Các phép toán trong VHDL
d. Toán tử dịch:
Toán tử dịch là toán tử tác động lên toán hạng kiểu Bit_vector để tạo
ra các phép dịch hoặc quay dữ liệu. Cú pháp của toán tử dịch:
Toán_hạng_trái Toán_Tử_dịch Toán hạng phải;
Trong đó: phải là kiểu Bit_vector sẽ đƣợc dịch hoặc
quay dữ liệu, xác định số vị trí đƣợc dịch hoặc
quay và phải có kiểu số nguyên mang giá trị dƣơng hoặc âm, nếu là giá
trị âm sẽ chỉ ra hƣớng ngƣợc lại với giá trị dƣơng . Mỗi phép dịch cho
kết qủa cùng dạng và kích thƣớc với toán hạng ban đầu.
Các toán tử dịch trong VHDL là: sll (dịch trái logic), srl (dịch phải
logic), sla (dịch trái số học), sra (dịch phải số học), rol (quay trái), ror
(quay phải).
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
228
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
9.1.3 Các phép toán trong VHDL
Bảng tổng kết các phép toán trong VHDL nhƣ sau:
Phép toán
Phép gán
Phép toán logic
Phép toán số học
Phép quan hệ
Phép dịch
Phép gộp
Toán tử
<=, :=, =>
NOT, AND, NAND,
Kiểu dữ liệu
Bất kỳ kiểu dữ liệu nào
BIT, BIT_VECTOR,
OR, NOR, XOR, XNOR
STD_LOGIC, STD_LOGIC_VECTOR,
+, - ,*,/,**
(mod, rem, abs)- chỉ
dùng cho mô phỏng
=, /=, <, >, <=, >=
sll, srl, sla, sra,
rol, ror
&, ( , , , )
STD_ULOGIC, STD_ULOGIC_VECTOR
INTEGER, SIGNED, UNSIGNED
Tất cả các kiểu dữ liệu ở trên
BIT_VECTOR
BIT, BIT_VECTOR,
STD_LOGIC, STD_LOGIC_VECTOR,
STD_ULOGIC, STD_ULOGIC_VECTOR
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
229
BÀI GIẢNG MÔN :
9.1.4 Các đơn vị thiết kế trong VHDL:
ĐIỆN TỬ SỐ
VDHL sử dụng 6 đơn vị thiết kế gồm 2 loại: đơn vị cơ bản và đơn vị thiết kế thứ cấp:
- Đơn vị thiết kế cơ bản:
Library: Cho phép tạo thƣ viện trong VHDL
Package: Tạo các gói giữ liệu trong Library, nhƣ các khai báo các đối tƣợng, khai báo thủ tục,
hàm...
Entity: (Thực thể) - cho phép khai báo các giao diện của một khối thiết kế số nào đó: nhƣ khai
báo các cổng vào/ra, các tham số của khối mạch...
- Đơn vị thiết kế thứ cấp (Phụ thuộc vào một đơn vị thiết kế cơ bản):
Architecture: Mô tả hoạt động bên trong của một Entity hay đây chính là phần mô tả hoạt
động của khối mạch số.
Package Body: Mô tả chỉ tiết cho các khai báo trong Package nhƣ viết các hàm, các thủ tục ...
Configuration: Đơn vị thiết kế cấu hình cho phép gắn các phiên bản của thực thể vào những
kiến trúc khác nhau. Cấu hình cũng có thể đƣợc sử dụng để thay thế một cách nhanh chóng
các phần tử của thực thể trong các biểu diễn cấu trúc của thiết kế.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
230
BÀI GIẢNG MÔN :
9.1.4 Các đơn vị thiết kế trong VHDL:
ĐIỆN TỬ SỐ
a. Entity - (Thực thể) :
entity Tên_thực_thể is
generic(--Khai báo danh sách các tham số chung
Tên_tham_số : [Kiểu_dữ_liệu]
[:=giá_trị_khởi_tạo];
...
);
port(-- Khai báo danh sách đối tượng các port vào ra
Tên_cổng : [mode] [Kiểu_dữ_liệu]
[:=giá_trị_khởi_tạo];
...
);
end Tên_thực_thể;
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
231
BÀI GIẢNG MÔN :
9.1.4 Các đơn vị thiết kế trong VHDL:
ĐIỆN TỬ SỐ
b. Architecture – (Kiến trúc)
Architecture Tên_kiến_trúc of Tên_thực_thể
is
-- Thực hiện các khai báo cho kiến trúc
...
Begin
-- Viết các mô tả hoạt động bên trong cho
thực thể
...
End Tên_kiến_trúc;
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
232
BÀI GIẢNG MÔN :
9.1.4 Các đơn vị thiết kế trong VHDL:
ĐIỆN TỬ SỐ
Package ( gói dữ liệu) là đơn vị thiết kế cơ bản dùng để chứa những khai báo cho các đối
tƣợng, khai báo thủ tục procedure, hàm function, kiểu dữ liệu, component có thể dùng
chung cho những thiết kế, cấu trúc, dự án khác nhau …
Package Body là đơn vị thiết kế phụ thuộc đƣợc dùng để chứa những mô tả chỉ tiết cho các
khai báo trong đơn vị thiết kế Package nào đó, mô tả chi tiết nội dung của các hàm, các thủ
tục ... Package Body thƣờng đƣợc viết ngay sau Package. Cú pháp chung các đơn vị thiết kế
Package và Package Body
package My_Pack is
constant. . .
function bv_to_integer (BV: bit_v..
return integer
-- Cách sử dụng package trong
file mô tả VHDL.
library IEEE; -- Thư việc
chuẩn
component . . .
subtype. . .
use IEEE.std_logic_1164.all;
end package My_pack;
-- Trong phần mềm thiết kế
ISE gói dữ liệu do người sử
dụng tạo ra thường được tổ
chức mặc định trong thư viện
―work‖
package body My_Pack is
function bv_to_integer (BV: bit_v..
return integer is
variable ...
begin
. . .
use work.My_Pack.all;
entity . . .
for index in BV'range
loop
. . . .
end function;
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
233
ĐIỆN TỬ SỐ
9.1.5 Cấu trúc chung của một chƣơng trình mô tả VHDL
BÀI GIẢNG MÔN :
Phần cứng công nghệ logic số (CPLD/FPGA)
entity
architecture
process
Variables
Signals
Input Ports
Ports Ports
Output
Hình 9.2. Cấu trúc mô tả phần cứng và các đối tượng trong VHDL
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
234
ĐIỆN TỬ SỐ
9.1.5 Cấu trúc chung của một chƣơng trình mô tả VHDL
BÀI GIẢNG MÔN :
-- Ví dụ cấu trúc 1 file mô tả cho một hệ thống phần cứng số dùng VHDL
-- Khai báo thư viện,(mặc định cần khai báo thư viện IEEE (thư viện
-- chuẩn đã được xây dựng).
library IEEE;...
-- Khai báo gói dữ liệu (package) trong thư viện cần sử dụng:
use IEEE.STD_LOGIC_1164.ALL;...
-- Khai báo thực thể
Entity Tên_thực_thể is
-- Khai báo các tham số generic nếu cần:
Generic( -- khai báo danh sách các tham số);
Port(-- Khai báo danh sách các cổng vào/ra
);
End Tên_thực_thể;
-- Bắt đầu viết
Architecture Tên_kiến_trúc of Tên_thực_thể is
{Khai báo:kiểu dữ liệu, các component,các đối tượng constant,
signal}
Begin
{ Viết các mô tả dùng cấu trúc lệnh song song }
...
Process(-- danh sách tín hiệu kích thích nếu cần)
{Khai báo:kiểu dữ liệu, các đối tượng biến constant, variable }
Begin
{ Viết các mô tả dùng cấu trúc lệnh tuần tự }
End process;
...
{ Viết các mô tả dùng cấu trúc lệnh song song hay process khác }
...
End Tên_kiến_trúc;
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
235
BÀI GIẢNG MÔN :
9.1.6 Các cấu trúc lệnh song song
ĐIỆN TỬ SỐ
Các cấu trúc lệnh song song có trong VHDL gồm:
+ Cấu trúc process.
+ Lệnh gán tín hiệu song song.
+ Lệnh gán có điều kiện.
+ Lệnh gán tín hiệu có lựa chọn.
+ Khối.
+ Phép gọi thủ tục, hàm song song.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
BÀI GIẢNG MÔN :
9.1.6 Các cấu trúc lệnh song song
ĐIỆN TỬ SỐ
[Nhãn] Process [(Danh sách tín hiệu kích thích)]
[ Khai báo:kiểu dữ liệu, các đối tượng biến constant, variable ]
Begin
{ Viết các mô tả dùng cấu trúc lệnh tuần tự }
End process;
entity Logic_AND is
Port ( A,B : in std_logic;
C
: out std_logic);
end Logic_AND;
A
architecture Behavioral of Logic_AND is
B
C
begin
Process(A,B)
begin
C<= A and B;
end Process;
end Behavioral;
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
BÀI GIẢNG MÔN :
9.1.6 Các cấu trúc lệnh song song
ĐIỆN TỬ SỐ
b. Các phép gán tín hiệu song song
Phép gán tín hiệu song song sử dụng bên trong các Architecture
nhƣng bên ngoài Process. Dạng đơn giản nhất của phép gán tín
hiệu song song có cú pháp nhƣ sau:
<= [after ];
...
architecture Behavioral of logic1 is
signal I1, I2, I3, I4, AND_out, OR_out: std_logic;
begin
...
AND_out<= I1 and I2 and I3 and I4;
OR_out<= I1 or I2 or I3 or I4;
...
end Behavioral;
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
BÀI GIẢNG MÔN :
9.1.6 Các cấu trúc lệnh song song
ĐIỆN TỬ SỐ
c. Phép gán tín hiệu có điều kiện
Phép gán tín hiệu có điều kiện là cấu trúc lệch song song
thực hiện phép gán giá trị của các biểu thức cho một tín hiệu
đích tùy theo các điều kiện đặt ra. Cú pháp chung:
<= [after ] when
<điều_kiện> else
[after ] when
<điều_kiện> else
...
[after ];
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
BÀI GIẢNG MÔN :
9.1.6 Các cấu trúc lệnh song song
c. Phép gán tín hiệu có điều kiện-ví dụ
architecture ...
begin
Z <= A when Sel=―00‖ else
B when Sel=―10‖ else
C when Sel=―11‖ else
‗X‘ ;
end architecture;
A
B
Z
‗X‘
C
architecture ...
begin
process(A,B,C, SEL )
begin
case (SEL) is
when ―00‖ =>Z <=
when ―10‖ =>Z <=
when ―11‖ =>Z <=
when others=>Z<=
end case;
end process;
end architecture ;
A;
B;
C;
‗X‘;
Sel
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
ĐIỆN TỬ SỐ
BÀI GIẢNG MÔN :
9.1.6 Các cấu trúc lệnh song song
ĐIỆN TỬ SỐ
d. Phép gán tín hiệu theo lựa chọn
With select
<= [after ]
when ,
[after ]
when
,
...
[after ]
www.ptit.edu.vn
V1.0
when others;
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
9.1.7 Cấu trúc lệnh tuần tự
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Các cấu trúc lệnh tuần tự cơ bản trong VHDL gồm:
+ Câu lệnh gán cho biến.
+ Câu lệnh gán cho tín hiệu.
+ Câu lệnh if.
+ Câu lệnh case.
+ Câu lệnh rỗng Null.
+ Các lệnh lặp.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
9.1.7 Cấu trúc lệnh tuần tự
BÀI GIẢNG MÔN :
a. Phép gán biến
Cú pháp của phép gán biến nhƣ sau:
biến := biểu_thức
b. Phép gán tín hiệu
Cú pháp của phép gán biến nhƣ sau:
Tí
n_hiệu_đích<= biểu_thức [after giá_trị_thời_gian];
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
ĐIỆN TỬ SỐ
9.1.7 Cấu trúc lệnh tuần tự
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
c. Lệnh if
Lệnh này cho phép các phép toán đƣợc thực hiện trên một
điều kiện nào đó. Có ba dạng cơ bản là:
+ Dạng 1:
if (Điều_kiện) then ;
end if;
+ Dạng 2:
if (Điều_kiện) thenCác_câu_lệnh_tuần_tự>;
else
www.ptit.edu.vn
V1.0
;
end if;
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
9.1.7 Cấu trúc lệnh tuần tự
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
+ Dạng 3:
if (Điều_kiện_1) then ;
elsif (Điều_kiện_2) then ;
elsif (Điều_kiện_3) then
;
else
;
end if;
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
9.1.7 Cấu trúc lệnh tuần tự
ĐIỆN TỬ SỐ
BÀI GIẢNG MÔN :
Ví dụ
process (A, B, C, D, Sel)
begin
If
Z
elsif
Z
elsif
Z
elsif
(Sel
<= A
(Sel
<= B
(Sel
<= C
(Sel
<= D
Z
end if;
end process ;
=
;
=
;
=
;
=
;
―00‖)
then
―01‖)
then
―10‖)
then
―11‖)
then
V1.0
D
C
Z
C
D
Sel
B
-- Với mô tả trên cấu trúc bên trong của mạch
ghép kênh 4 đầu vào tổng hợp đƣợc thực sự
đƣợc xây dựng từ 3 mạch ghép kênh 2 đầu vào.
www.ptit.edu.vn
A
B
A
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
Z
9.1.7 Cấu trúc lệnh tuần tự
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
d. Lệnh case:
Lệnh case đƣợc sử dụng trong trƣờng hợp có một biểu thức để kiểm soát
nhiều rẽ nhánh trong chƣơng trình VHDL. Các lệnh tƣơng ứng với một
trong các lựa chọn sẽ đƣợc thực hiệu nếu biểu thức kiểm soát có giá trị bằng
giá trị tƣơng ứng của lựa chọn đó. Có hai dạng cơ bản:
Dạng 1:
Case (biểu_thức_kiểm_soát) is
When =>
;
When =>
;
...
end case;
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
9.1.7 Cấu trúc lệnh tuần tự
BÀI GIẢNG MÔN :
Dạng 2:
Case (selector expression) is
When =>
;
When =>
;
...
When others =>
www.ptit.edu.vn
V1.0
;
end case;
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
ĐIỆN TỬ SỐ
9.1.7 Cấu trúc lệnh tuần tự
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
e. Câu lệnh rỗng Null
Câu lệnh rỗng có cú pháp nhƣ sau: Null;
Trong VDHL khi chƣơng trình mô phỏng gặp câu lệnh Null
nó sẽ bỏ qua lệnh này và thực hiện lệnh tiếp theo sau. Thông
thƣờng lệnh Null dùng để chỉ trƣờng hợp không thực hiện
của lệnh một cách tƣờng minh khi có các điều kiện trả lại giá
trị true. Do đó lệnh Null thƣờng đƣợc dùng trong các câu
lệnh case đối với những giá trị lựa chọn không cần thao tác.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
9.1.7 Cấu trúc lệnh tuần tự
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Ví dụ
process
begin
(A, B, C, D, Sel )
A
case Sel is
when ―00‖ =>
Z <= A ;
when ―01‖ =>
Z <= B ;
when ―10‖ =>
Z <= C ;
when others => Null;
end case ;
end process ;
www.ptit.edu.vn
V1.0
Z
B
C
Sel
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
9.1.7 Cấu trúc lệnh tuần tự
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
f. Các lệnh lặp
Lệnh lặp loop chứa thân vòng lặp bao gồm dãy các câu lệnh sẽ
đƣợc thực hiện nhiều lần.
Cú pháp của lệnh lặp nhƣ sau:
[:] [] loop
{}|
{next [] [when <điều_kiện>];}|
{exit [] [when <điều_kiện>];}
end loop [nhãn];
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
9.1.7 Cấu trúc lệnh tuần tự
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Ví dụ
process
begin
(A, B, C, D, Sel )
case Sel is
when ―00‖
when ―01‖
when ―10‖
when ―11‖
end case ;
end process ;
www.ptit.edu.vn
V1.0
A
B
=>
=>
=>
=>
Z
Z
Z
Z
<=
<=
<=
<=
A
B
C
D
;
;
;
;
Z
C
D
Sel
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
9.1.8 Hàm và thủ tục
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
a. Hàm – FUNCTION
-- Khai báo
FUNCTION Tên_hàm [(danh_sách_biến)] RETURN kiểu_dữ_liệu;
-- Function Body (Mô tả hàm)
FUNCTION Tên_hàm [(danh_sách_biến)] RETURN kiểu_dữ_liệu IS
-- Khai báo CONSTANT, VARIABLE nếu có
BEGIN
(Viết mô tả hàm dùng cấu trúc Lệnh tuần tự)
END Tên_hàm;
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
9.1.8 Hàm và thủ tục
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Ví dụ hàm xác định sƣờn dƣơng của tín hiệu clk nhƣ sau:
------ Function body -------
FUNCTION positive_edge(SIGNAL s: STD_LOGIC)
RETURN BOOLEAN IS
BEGIN
RETURN (s'EVENT AND s='1');
END positive_edge;
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
9.1.8 Hàm và thủ tục
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
b. Thủ tục – PROCEDURE
Về cơ bản cú pháp, cách gọi, vị trí của thủ tục - PROCEDURE tƣơng tự nhƣ
hàm – FUNCTION, nhƣng thủ tục không đƣợc trả về giá trị.
Cú pháp để khai báo và mô tả thủ tục (phần PROCEDURE BODY) nhƣ sau:
-- Khai báo
PROCEDURE Tên_thủ_tục [(danh_sách_biến)];
-- PROCEDURE Body (Mô tả hàm)
PROCEDURE Tên_hàm [(danh_sách_biến)] IS
-- Khai báo CONSTANT, VARIABLE nếu có
BEGIN
(Viết mô tả thủ tục dùng cấu trúc Lệnh tuần tự)
END Tên_thủ_tục;
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
BÀI GIẢNG MÔN :
9.2 PHƢƠNG PHÁP MÔ TẢ HỆ THỐNG PHẦN CỨNG SỐ
ĐIỆN TỬ SỐ
9.2.1 Phƣơng pháp mô tả theo mô hình cấu trúc logic
Trƣớc khi đƣợc sử dụng trong kiến trúc của cả hệ thống, các
thành phần đã đƣợc xây dựng (gọi tắt là các component)
phải đƣợc khai báo một cách tƣờng minh theo cú pháp sau:
Component
Port()
-- Tƣơng tự nhƣ khai báo trong thực thể
End component;
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
ĐIỆN TỬ SỐ
9.2.1 Phƣơng pháp mô tả theo mô hình cấu trúc logic
BÀI GIẢNG MÔN :
Mô tả triger DFF nhƣ sau:
entity DFF is
port ( D, Clock : in std_logic ;
Reset : in std_logic ;
Q : out std_logic) ;
end entity DFF ;
architecture RTL of DFF is
begin
process (Clock, Reset)
begin
If (Reset = „1‟ ) then Q <= „0‟ ;
elsif (Clock‟event and Clock = „1‟) then
Q <= D ;
end if;
end process ;
Đây là triger D có Reset mức tích cực cao, và không đồng bộ.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
ĐIỆN TỬ SỐ
9.1.2 Phƣơng pháp mô tả theo mô hình hành vi (Behavioral)
BÀI GIẢNG MÔN :
Đây là mức độ mô tả trừu tƣợng nhất, chủ yếu là mô tả theo
chức năng của hệ thống số theo yêu cầu đầu vào và đáp ứng
ra sử dụng các cấu trúc lệnh giống nhƣ của ngôn ngữ lập
trình bậc cao nhƣ PROCESS , WAIT, IF, CASE, FORLOOP…
Mô tả theo cách này tính ngữ nghĩa tự nhiên và giải thuật
rất cao, nhập thiết kế rất nhanh, nhƣng cấu trúc của phần
cứng thƣờng không rõ.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
ĐIỆN TỬ SỐ
9.2.3 Phƣơng pháp mô tả theo mô hình luồng dữ liệu RTL
BÀI GIẢNG MÔN :
Hệ thống số đƣợc biểu diễn theo mô hình RTL khi chúng
đƣợc xác định bởi 3 thành phần nhƣ sau:
- Tập các thanh ghi trong hệ thống (Các khối mạch nhớ,
mạch tuần tự).
- Các phép toán đƣợc thực hiện trên dữ liệu đƣợc lƣu trong
các thanh ghi đƣợc xây dựng nhờ các mạch logic tổ hợp.
- Những điều khiển để giám sát chuỗi tuần tự các phép toán
trong hệ thống (thƣờng đƣợc xây dựng trên mô hình máy
trạng thái).
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
ĐIỆN TỬ SỐ
9.2.3 Phƣơng pháp mô tả theo mô hình luồng dữ liệu RTL
BÀI GIẢNG MÔN :
a. Mô tả mạch logic tổ hợp
Mạch logic tổ hợp có thể mô tả bằng các cấu trúc lệnh song,
tuy nhiên thƣờng dùng các process tổ hợp. Khi sử dụng
process tổ hợp tất cả các tín hiệu vào của mạch tổ hợp phải
đƣợc đƣa vào danh sách tín hiệu kích thích.
A
Z
B
D
En
process(A,B)
begin
Z <= A or B ;
end process;
Q
process (D, En)
begin
-- gán mặc định đầu ra
Q <= 0;
if
En = ‗1‘ then Q <= D ;
end if ;
end process;
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
ĐIỆN TỬ SỐ
9.2.3 Phƣơng pháp mô tả theo mô hình luồng dữ liệu RTL
BÀI GIẢNG MÔN :
b. Mô tả mạch tuần tự:
Các khối thanh ghi có thể đƣợc mô tả bằng tiến trình hoạt
động theo clock theo 2 kiểu:
Tiến trình đồng bộ, với danh sách tín hiệu kích thích chỉ có
duy nhất tín hiệu clock, mọi biến đổi của mạch đƣợc đồng bộ
theo sƣờn clock)
Hoặc tiến trình không đồng bộ, với danh sách tín hiệu kích
thích không chỉ có tín hiệu clk mà còn có các tín hiệu không
đồng bộ khác.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
ĐIỆN TỬ SỐ
9.2.3 Phƣơng pháp mô tả theo mô hình luồng dữ liệu RTL
BÀI GIẢNG MÔN :
Ví dụ mô tả hoạt động của Triger D làm việc theo sƣờn dƣơng với các tín hiệu Reset không
đồng bộ nhƣ sau:
process ( Clk, Reset )
begin
reset = ‗1‘ then
Q <= ‗0‘ ;
elsif (Clk`event and
Q <= D ;
end if ;
end process ;
D
if
www.ptit.edu.vn
V1.0
Q
Clk = ‗1‘) then
Clk
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
Reset
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
9.2.4 Phƣơng pháp mô tả theo mô hình đồ hình trạng thái (máy trạng thái - State Machine)
Mô hình Moore: Kết quả đầu ra chỉ phụ thuộc vào trạng thái hiện tại.
Đầu vào
Thanh ghi
trạng thái
hiện tại
Xác định
Trạng thái
tiếp theo
Xác định
Đầu ra
Clock
Hình 9.6 – Mô hình máy trạng thái Moore.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
Đầu ra
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
9.2.4 Phƣơng pháp mô tả theo mô hình đồ hình trạng thái (máy trạng thái - State Machine)
- Mô hình Mealy: Đầu ra phụ thuộc vào cả trạng thái hiện tại và tín hiệu vào.
Đầu vào
Thanh ghi
trạng thái
hiện tại
Xác định
Trạng thái
tiếp theo
Xác định
Đầu ra
Clock
Hình 9.7 – Mô hình máy trạng thái Mealy.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
Đầu ra
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
9.2.4 Phƣơng pháp mô tả theo mô hình đồ hình trạng thái (máy trạng thái - State Machine)
Trong thực tế hệ thống số thƣờng đƣợc mô tả bằng việc kết hợp cả mô hình Moore và Mealy
và sử dụng thêm thanh ghi đầu ra:
Thanh
ghi
đầu ra
Đầu vào
Xác định
Trạng thái
tiếp theo
Thanh ghi
trạng thái
hiện tại
Xác định
Đầu ra
Clock
V1.0
Moore
Moore
Mealy
Thanh
ghi
đầu ra
Hình 9.8 – Mô hình máy trạng thái hỗn hợp Moore và Mealy
www.ptit.edu.vn
Đầu ra
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
Mealy
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
9.2.4 Phƣơng pháp mô tả theo mô hình đồ hình trạng thái (máy trạng thái - State Machine)
Ví dụ: bộ đếm thập phân thuận nghịch đồng bộ “UpdownCounter” có mô hình vẽ sau:
UP
Z
RESET
CLK
UpdownCounter
Hình 9.10 – Mô hình bộ đếm thuận nghịch
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
9.2.4 Phƣơng pháp mô tả theo mô hình đồ hình trạng thái (máy trạng thái - State Machine)
UP='0'
S0
RESET
if UP='0' then
Z='1'
else Z='0'
UP='0'
S1
S2
Z='0'
Z='0'
UP='1'
UP='1'
UP='0'
UP='1'
UP='1'
UP='0'
S3
S9
Z='0'
if UP='0' then
Z='0'
else Z='1'
UP='1'
UP='0'
UP='1'
UP='0'
S4
S8
Z='0'
Z='0'
UP='0'
UP='1'
UP='1'
UP='1'
UP='0'
UP='1'
S7
S6
S5
Z='0'
Z='0'
Z='0'
UP='0'
UP='0'
Hình 9.11 – Đồ hình trạng thái của bộ đếm thập phân thuận nghịch
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
9.2.4 Phƣơng pháp mô tả theo mô hình đồ hình trạng thái (máy trạng thái - State Machine)
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY FSM IS
PORT (CLK,RESET,UP: IN std_logic;
Z : OUT std_logic);
END;
ARCHITECTURE BEHAVIOR OF FSM IS
SIGNAL sreg : std_logic_vector (3 DOWNTO 0);
SIGNAL next_sreg : std_logic_vector (3 DOWNTO 0);
CONSTANT S0 : std_logic_vector (3 DOWNTO 0) :="0000";
CONSTANT S1 : std_logic_vector (3 DOWNTO 0) :="0001";
CONSTANT S2 : std_logic_vector (3 DOWNTO 0) :="0010";
CONSTANT S3 : std_logic_vector (3 DOWNTO 0) :="0011";
CONSTANT S4 : std_logic_vector (3 DOWNTO 0) :="0100";
CONSTANT S5 : std_logic_vector (3 DOWNTO 0) :="0101";
CONSTANT S6 : std_logic_vector (3 DOWNTO 0) :="0110";
CONSTANT S7 : std_logic_vector (3 DOWNTO 0) :="0111";
CONSTANT S8 : std_logic_vector (3 DOWNTO 0) :="1000";
CONSTANT S9 : std_logic_vector (3 DOWNTO 0) :="1001";
SIGNAL next_Z : std_logic;
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
9.2.4 Phƣơng pháp mô tả theo mô hình đồ hình trạng thái (máy trạng thái - State Machine)
BEGIN
Sync: PROCESS (CLK) –- Cập nhật trạng thái mới của bộ đếm
BEGIN
IF CLK='1' AND CLK'event THEN
if RESET='1' then
sreg<= S0;
else
sreg <= next_sreg;
end if;
END IF;
END PROCESS;
Comb: PROCESS (sreg,UP) –- Kiểm tra điều kiện chuyển trạng thái
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
9.2.4 Phƣơng pháp mô tả theo mô hình đồ hình trạng thái (máy trạng thái - State Machine)
BEGIN
CASE sreg IS
WHEN S0 =>
IF ( UP='0' ) THEN
ELSE
next_sreg<=S9;
next_sreg<=S1;
END IF;
WHEN S1 =>
IF ( UP='0' ) THEN
ELSE
next_sreg<=S0;
next_sreg<=S2;
END IF;
WHEN S2 =>
IF ( UP='0' ) THEN
ELSE
next_sreg<=S1;
next_sreg<=S3;
END IF;
WHEN S3 =>
IF ( UP='0' ) THEN
ELSE
next_sreg<=S2;
next_sreg<=S4;
END IF;
WHEN S4 =>
IF ( UP='0' ) THEN
ELSE
next_sreg<=S3;
next_sreg<=S5;
END IF;
WHEN S5 =>
IF ( UP='0' ) THEN
ELSE
next_sreg<=S4;
next_sreg<=S6;
END IF;
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
9.2.4 Phƣơng pháp mô tả theo mô hình đồ hình trạng thái (máy trạng thái - State Machine)
WHEN S6 =>
IF ( UP='0' ) THEN
ELSE
next_sreg<=S5;
next_sreg<=S7;
END IF;
WHEN S7 =>
IF ( UP='0' ) THEN
ELSE
next_sreg<=S6;
next_sreg<=S8;
END IF;
WHEN S8 =>
IF ( UP='0' ) THEN
ELSE
next_sreg<=S7;
next_sreg<=S9;
END IF;
WHEN S9 =>
IF ( UP='0' ) THEN
ELSE
next_sreg<=S8;
next_sreg<=S0;
END IF;
WHEN OTHERS => next_sreg<=S0;
END CASE;
END PROCESS;
Outputs: PROCESS (sreg,UP) —-Tính kết quả đầu ra
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ
9.2.4 Phƣơng pháp mô tả theo mô hình đồ hình trạng thái (máy trạng thái - State Machine)
BEGIN
IF UP='1' THEN
if sreg=S9 then
Z<= '1';
else
Z<= '0';
end if;
ELSE
if sreg=S0 then
Z<= '1';
else
Z<= '0';
end if;
END IF;
END PROCESS;
END BEHAVIOR;
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
Tài liệu tham khảo
BÀI GIẢNG MÔN :
ĐIỆN TỬ SỐ
Giáo trình Điện tử số - Trần Thúy Hà-Đỗ Mạnh Hà, HVCNBCVT 2009.
Giáo trình Kỹ thuật số - Trần Văn Minh, NXB Bƣu điện 2002.
Cơ sở kỹ thuật điện tử số, Đại học Thanh Hoa, Bắc Kinh, NXB Giáo dục 1996.
Kỹ thuật số, Nguyễn Thúy Vân, NXB Khoa học và kỹ thuật 1994.
Lý thuyết mạch logic và Kỹ thuật số, Nguyễn Xuân Quỳnh, NXB Bƣu điện 1984.
Fundamentals of logic design, fourth edition, Charles H. Roth, Prentice Hall
1991.
Digital engineering design, Richard F.Tinder, Prentice Hall 1991.
Digital design principles and practices, John F.Wakerly, Prentice Hall 1990.
VHDL for Programmable Logic by Kevin Skahill, Addison Wesley, 1996
The Designer's Guide to VHDL by Peter Ashenden, Morgan Kaufmann, 1996.
Analysis and Design of Digital Systems with VHDL by Dewey A., PWS
Publishing, 1993.
www.ptit.edu.vn
V1.0
GIẢNG VIÊN: ThS. TRẦN THÚY HÀ
Bài
giảng ĐIỆN
Điện tử
sốKHOA KTDT1
BỘ MÔN: KỸ
THUẬT
TỬ-
273